Table of contents

Volume 60

Number SC, June 2021

Previous issue Next issue

Microprocesses and Nanotechnology

Buy this issue in print

Foreword

Regular Papers

Advanced lithography and patterning

SCCA01

, , and

Displacement Talbot lithography can rapidly pattern periodic nanostructures with high depth of focus over large area. Imperfections in the phase mask profile and the stage movement inaccuracies during the exposure cause linewidth variation in every second line of binary gratings. While this beating is barely visible in patterned photoresist, it leads to substantial depth variation when transferred into high aspect ratio silicon structures, because of micro-loading in deep reactive ion etching. A proper scan range compensated the defect, and a beating-free grating with pitch size of 1 μm and aspect ratio of 54:1 is demonstrated.

SCCA02

, , and

Extreme ultraviolet lithography in high-volume manufacturing requires a longer pellicle lifetime; however, the thermal deformation of pellicles due to repeated exposure can shorten the pellicle lifetime. Thermal stress is used as an indicator in most studies to predict the pellicle lifetime, but because the material can break under low thermal stress depending on the mechanical properties, evaluation of thermomechanical stability including thermal stress and mechanical durability is required. In this study, the thermal stress and mechanical stability of pellicles were evaluated through a comparative analysis of crack occurrence points resulting from thermal deformation for various pellicle structures and contaminant particles. The results show that the thermal stress was lower and the crack time was relatively longer for the metal silicide-based material compared with other pellicle structures. Moreover, it was found that the presence of contaminant particles could be the main cause of pellicle breakage.

SCCA03

, , , , , , , , and

The negative pressure atmosphere during the development process was investigated to mitigate the photoresist pattern collapse which is one of the traditional issues in the lithography processes for every generation of photoresists; i-line, KrF, ArF, ArF immersion, and recently extreme ultraviolet. The pattern collapse is caused by the capillary force between resist patterns during rinsing and drying in the development process. The main factors of capillary force are the surface tension and the contact angle of rinsing liquid and also the pattern structure (line width, space width, and height). On the other hand, the capillary force is influenced by the atmosphere pressure. In this paper, we controlled the chamber pressure during the rinsing and drying processes for the pattern collapse mitigation. The minimum critical dimension without pattern collapse under the negative pressure was found to be smaller (approximately 10% improvement) than that obtained with atmosphere pressure.

SCCA04

, , and

In high numerical aperture (NA) extreme ultraviolet lithography, which is used to implement a finer linewidth of 10 nm or lower, serious problems arise in patterning as the NA increases. To alleviate such problems, a thin absorber and a multilayer with good reflective efficiency and improved pattern quality are required. To develop an effective EUV photomask for the commercialization of high-NA systems, we determined the optimal ruthenium (Ru)/silicon (Si) multilayer structure using a phase-shift mask (PSM) absorber. A Ru/Si multilayer $\left({d}_{{\rm{R}}{\rm{u}}}=2.2\,{\rm{nm}},{d}_{{\rm{S}}{\rm{i}}}=4.7\,{\rm{nm}}\right)$ using PSM as an absorber has a smaller best-focus range and placement error compared to the molybdenum (Mo)/silicon (Si) multilayer. At the same time, it provides improved image contrast, enabling more stable patterning. Even when the number of layers of the Ru/Si multilayer was reduced, it was confirmed that the reflectance efficiency and image quality were maintained.

Electron and ion beam technologies

SCCB01

, , , , , , , and

Ar plasma in a fast atom beam (FAB) source with magnetic fields, which was previously developed [Precis. Eng. 62, 106 (2020)] to achieve high-performance surface activated bonding, was analyzed by particle-in-cell-Monte Carlo collision simulation and experimental measurements. Simulation in the proposed FAB source with magnetic fields shows that higher electron density accumulation occurs near the irradiation port by E × B drift, and the potential gradient near the irradiation port steepens, which results in an increase in Ar+ flux to the irradiation port. The variation in the plasma distribution due to the effect of the magnetic field contributes to an increase in the amount of Ar-FAB irradiation, which reduces erosion of the carbon electrodes and suppresses the formation of carbon agglomerates. These simulation results were verified experimentally with Langmuir probe measurements and FAB irradiation experiments with oxide layer removal. The analysis results explain why high performance is achieved with the proposed FAB source.

SCCB02

, , and

When the electron beam (EB) irradiates insulating films on a conducting substrate, the film charges positively or negatively, depending on the condition. The surface potential distribution is measured using an electrostatic force microscope installed in the specimen chamber of a scanning electron microscope. The multiple backscattering phenomenon of electrons between the specimen and the bottom of the objective lens electrode causes a global charging even a few millimeters away from the EB irradiation area. This charging can be suppressed by applying −5 V to the specimen. On the other hand, the charge in the irradiation area changes depending on the exposure dose. When the dose is small, it is positively charged, but when the exposure dose is large, it is negatively charged. However, as the dose increases, it becomes positive again. When the insulating film is irradiated with an EB, the charging potential disappears twice depending on the irradiation dose, and the electric potential distribution was found to be M-shaped and W-shaped. We propose a model to explain this phenomenon.

Patterning materials

SCCC01

, , , , , , , and

Investigations were made on the application of aqueous ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution (compared to the de facto standard aqueous tetramethylammonium hydroxide or TMAH) for mainstream lithographic technologies; extreme ultraviolet (EUV), ArF immersion (ArFi), KrF, and i-line. Results show that for EUV, ETMAH at a specific developer concentration allows mitigation of resist-based stochastic defects while maintaining lithographic performance. It was also found that the ETMAH developer solution is compatible with the ArFi, KrF, and i-line lithography (ultimate resolution and line width roughness was maintained). For EUV, ArFi, and KrF lithography which utilizes chemical amplification resist (CAR) material platforms, sensitivity remained constant. For i-line lithography, sensitivity was observed to decrease by roughly 25%–30% when ETMAH was utilized, attributed to the different dissolution mechanism of the novolac-based resist compared to CAR. Nevertheless, these results show the viability of ETMAH as an alternative developer solution for mainstream semiconductor lithography.

SCCC02
The following article is Free article

and

Spotlights 2021 Spotlights 2021

Chemically amplified resists will be used in the high numerical aperture (NA) tools of extreme ultraviolet lithography. However, stochastic defects are a serious problem for their application to the high NA tools. In this study, the stochastic defect generation was simulated on the basis of the sensitization mechanisms and analyzed to clarify the contribution of process and material parameters using machine learning. The half-pitch HP, the sensitivity s, the total sensitizer concentration Cs, the effective reaction radius for deprotection Reff, and the initial standard deviation of the number of protected units per polymer molecule σi were used as variables. As a result, the exponential function reproduced the simulation results well. s and HP had dominant effects in LER formation. For pinching, s and HP were dominant. σi had a major effect. For bridging, s and HP were also dominant, the effect of σi was not major and Cs and Reff effects were major.

SCCC03

and

The electron affinity (EA) of a photoacid generator (PAG) is an important parameter for estimating the acid generation efficiency in a chemically amplified resist upon exposure to ionizing radiation such as EUV light and an electron beam because the electron attachment of PAG induces PAG decomposition and acid generation. In this study, EAs of ionic and non-ionic PAGs were estimated by density functional theory calculations using static and dynamic models. The former model indicated that radical anions are produced without changing the PAG structure even after electron attachment. The latter model indicated that the product structures are relaxed to their stable structures after electron attachment (dissociative electron attachment). By demonstrating the relationship between the EA and acid yield, the most preferable model was revealed.

SCCC04

, and

Metal induction into polymers is an emerging technology that could add inorganic characteristics to organic polymers. The organo-metal precursors dissolve into a polymer matrix from the surface to diffuse throughout the film thickness. In this article, the diffusion of the trimethylaluminum (TMA) in three kinds of polymers; poly(methyl methacrylate) (PMMA), poly(4-vinylpyridine) (P4VP) and poly(N-isopropylacrylamide) (PNIPAM) is discussed. TMA diffusiveness in each polymer was estimated by measuring the depth-profiles of aluminum distribution in the polymer film by X-ray photoelectron spectroscopy. TMA diffusions in each polymer were compared with the coordination energies of TMA to each polymer that were calculated by density functional theory (DFT). The diffusions were shown to be inversely correlated to the absolute value of coordination energy.

Nanocarbon and 2D materials

SCCD01

, , and

The electrical and optical properties of a stacked graphene p–n junction were investigated. N-type and p-type graphene films epitaxially grown on a SiC substrate were directly bonded to each other in a face-to-face manner. The current–voltage characteristics of the graphene junction diode exhibited an Ohmic behavior below 20 V. The conductance increased in the bias range above 20 V and had a peak around 65 V. The emission spectrum and temperature of the graphene p–n junction were measured using Fourier-transform far-infrared (FTIR) spectroscopy and infrared bolometer array. An electrically induced blackbody-like radiation with a peak wavelength of 10.2 μm was observed. Although the temperature change estimated using the bolometer results was 66 K at a power of 1.2 W, the peak wavelength of the FTIR spectrum was constant. An electrically induced blackbody-like far-infrared emission diode with a defined peak wavelength was successfully realized using the stacked graphene p–n junctions.

SCCD02
The following article is Free article

, , , , and

Spotlights 2021 Spotlights 2021

Tungsten ditelluride (WTe2) is a semi-metallic transition metal dichalcogenide (TMDC). WTe2 has attracted a lot of interest for topological properties and various applications such as batteries. In this study, we developed a method to obtain WTe2 nanowires from solution-grown tungsten oxide nanowires. By optimizing the supply of Te vapor, which strongly influences sample composition and structure, and using small-diameter solution-grown nanowires as precursors, we finally synthesized relatively small-diameter WTe2 nanowires with diameters of 15–50 nm. Interestingly, nanowire structures are mainly obtained via tellurization, but when sulfurization and selenization are applied on the same precursors, nanotube structures are obtained. We assume that the volume increase via chalogenization is a key parameter that determines the formation of nanotubes and nanowires.

SCCD03

, and

To improve the long-term reliability of copper (Cu) pads used in LSIs, nitrogen-doped amorphous carbon (a-C:N) coating is applied to the Cu pads with direct wire-bonding to prevent Cu oxidation in humidity. To obtain a thin barrier, the thickness optimization of a-C:N layer was carried out under temperature humidity storage (THS) testing at the conditions of 85 °C/85% relative humidity. Cu pad chains coated with the optimized a-C:N film were fabricated by direct bonding with aluminum (Al) wires. The electrical connection up to 210 bonds was obtained with the 10 and 15 nm thick a-C:N coated Cu pads. The reliability test of the pad-chains under the THS was carried out, and a 15 nm thick a-C:N can preserve the electrical connection along 100 h of the THS test. The a-C:N coating is expected to improve the humidity reliability of Cu pads with direct wire-bonding for long-term data storage.

SCCD04

, , , , and

In this study, electrochemical graphene (ECG) was chosen to be attached to a polyester fabric with a knitted structure as a flexible fabric strain sensor through a cloth dyeing method. According to scanning electron microscopy observation and Raman scattering spectroscopy measurements, ECG was successfully attached to the fabric structure. The electromechanical performance and strain sensing properties of the graphene-based flexible strain sensor were evaluated. The resistance change and gauge factor of different ECG attached as a fabric strain sensor were quantified. The gauge factor of the fabric strain sensor with less ECG is greater than that of the fabric strain sensor with more ECG. The high gauge factor of 47 was achieved in a strain range from 0% to 2%. The fabricated strain sensor exhibited high sensitivity, fast response time and good repeatability. The fabricated ECG fabric strain sensor could be used to detect tiny physiological signals and human motion.

Nanodevices

SCCE01

and

We propose a new multi-floating-zone junction-termination-extension (MFZ-JTE) using an exponentially modulated space and width for 4.5 kV SiC power devices to effectively spread out the electric field in reverse blocking mode and improve the tolerance against imprecise process control. A modified MFZ-JTE design with an exponential model was also proposed considering a stable patterning process. The blocking characteristics of the proposed MFZ-JTE using various exponential coefficients (α) in the model were evaluated for undesirable variations in the fabrication processes through TCAD simulations. The modified design for a 4.5 kV MFZ-JTE with α = 0.046 exhibited a low breakdown voltage deviation of 0.13% when the space variation ranged from –0.2 to +0.2 μm and 0.14% when the variation in the surface charge density at the SiO2/SiC interface was within the range of 0 to –1 × 1012 cm–3.

SCCE02

and

We propose a weight function controllable circuit for single-electron (SE) reservoir computing (RC). While an SE circuit has advantages, e.g., low power consumption and non-linear operation, it also has the disadvantage of being sensitive to fluctuation. Therefore, we focus on RC, as weights do not need to be adjusted in the reservoir layer and there is redundancy for noise. Our idea is that introducing RC can overcome the disadvantages of an SE circuit. Our designed circuit expresses and adjusts the weights by determining how many element signals can pass through it. The result of an operation test indicates that our circuit could accurately express the weights and change them correctly. We also evaluated the discrimination of input signals and found that our circuit could accurately do this. Therefore, our SE RC circuit has the potential to become a new information processing circuit that overcomes the problems of the SE circuit.

SCCE03

, , and

The initial electrical properties of tantalum oxide resistive memory were investigated using four metal electrodes (TiN, Ti, Ta, and Al) and two kinds of tantalum oxide with different amounts of intrinsic oxygen vacancies. The initial resistance depended on the electrode material. This indicated that oxygen scavenging by the electrodes contributed to a reduction in the resistance. However, the resistance change depended on the intrinsic oxygen vacancy concentration introduced during the tantalum oxide deposition. The forming voltage also depended on the electrode metal. For the device with an Al electrode, a clear aluminum oxide layer was identified at the electrode–insulator interface, which was hypothesized to be the origin of the high forming voltage. All factors concerning the oxygen vacancies, i.e. intrinsic vacancies introduced via film deposition and extrinsic vacancies caused by the electrode scavenging effect, influenced the initial state of tantalum oxide, and thus, its switching performance as a resistive memory.

SCCE04
The following article is Open access

, , , , , and

For improving self-heating effect (SHE) in Ge vertically stacked gate-all-around (GAA) nanowire (NW) p-type metal-oxide-semiconductor field-effect transistor (pMOSFET), aluminum oxide (Al2O3, alumina) is utilized for gate dielectric layer. From the high thermal conductivity of Al2O3, SHE is significantly improved. In order to validate the proposed device structure, technology computer-aided design simulation is performed through Synopsys Sentaurus three-dimensional tool. As a result, when Al2O3 is incorporated in Ge vertically stacked GAA NW pMOSFET, SHE can be remarkably improved from 534 to 419 K. In addition, the method of simultaneously accomplishing improvement of SHE and low gate leakage current (Igate) have been specifically investigated and proposed with numerous simulation data.

SCCE05
The following article is Free article

, , , , and

Spotlights 2021 Spotlights 2021

We report on the growth and optical characteristics of Eu-doped ZnO (ZnO:Eu) films on ZnO nanowires (NWs) by sputtering-assisted metalorganic chemical vapor deposition. ZnO:Eu films are grown by sputtering Eu2O3 targets during the growth of ZnO NWs. The crystal quality of ZnO host materials is improved by using the NW configuration due to a strain relaxation effect, which is elucidated by optical characterization. An enhancement of Eu3+ luminescence at 613 nm is observed at room temperature for the ZnO:Eu/ZnO NWs as compared to a conventional film. Site-selective spectroscopy by direct excitation of Eu3+ ions by a tunable dye laser reveals that the most intense emission peak is located at a wavelength of ∼613.5 nm, which coincides with the main peak under indirect excitation. This result indicates that the observed enhanced Eu luminescence is due to a relative increase in the number of Eu centers which can effectively be excited via the host material.

SCCE06

, , and

Harvesting of ambient renewable energy resources, such as indoor light, is a viable solution for the development of autonomous, "install-and-forget", environmental nanosensors. In this work we fabricated and characterized photovoltaic cells based on AgBiI4 rudorffites as promising indoor photovoltaic energy harvesters demonstrating photoconversion efficiency of 5.17% and power output of 1.76 μW cm−2 measured under white LED light of 1000 lux. Considering that modern low-power wireless transmitters consume <1 μJ per bit for data transmission, the indoor rudorffite photovoltaic cell combined with a supercapacitor can be used for sensor readout and reliable intermittent data transmission.

SCCE07

, and

A recessed-channel tunnel field-effect transistor (RCTFET) with intrinsic Si layer between gate and source/drain is proposed and its electrical characteristics are examined by technology computer-aided design simulation for lower subthreshold swing (SS) and higher on-off current ratio (ION/IOFF) than conventional planar TFET. Although the SS and ION/IOFF of RCTFET can be improved by optimizing the length of the intrinsic Si layer (LT), there is a trade-off in terms of turn-on voltage (VON). To address this issue, a ferroelectric (FE) layer has been adopted to the gate stack for negative capacitance (NC) effects. Based on the study, the NC effects not only reduce VON but also enhance the SS and ION/IOFF characteristics. As a result, the optimized NC-RCTFET shows 3 times higher ION and 23 mV dec−1 smaller average SS with 1 V lower VON than the conventional RCTFET.

SCCE08

and

The forward current–voltage characteristics of p-type diamond pseudo-vertical Schottky barrier diodes are investigated via numerical simulation. Impact ionization decrease the hole concentration of the p− drift layer from 1015 to 1014 cm–3 at 300 K, thereby increasing the forward voltage drop and on-resistance. When we consider an incomplete ionization with increasing temperature, the increase in the hole concentration is more dominant than the enhanced phonon scattering, thereby resulting in an increasing forward current. We modified the Ohmic contact for both metallic conduction at the p+ layer and incomplete ionization at the p– drift layer. The Baliga figure-of-merit of the device with and without incomplete ionization is 25 and 192 MW cm−2, respectively. Incomplete ionization should be considered in the numerical study of diamond power devices.

SCCE09

, , , , , , and

In this work, sensitivity and response times of PDMS-based capacitive force sensors are enhanced via the modifications of the PDMS layer. Two modifying approaches are proposed; (i) change PDMS's (elastomer:curing agent) ratio and (ii) adding conductive polymer PEDOT:PSS into the PDMS layer. The change of PDMS (elastomer:curing agent) ratio from (10:1) to (30:1) increases the sensitivity from 0.4 ± 0.08 to 0.72 ± 0.23 kPa−1 (+80%) but it does not significantly affect the response/recovery times. In addition, by adding 1% wt. of PEDOT:PSS to PDMS (30:1), the further increment of sensitivity from 0.72 ± 0.23 to 1.44 ± 0.17 kPa−1 (+100%) and the shorter response time from 1.59 ± 0.02 to 0.45 ± 0.03 s (−72%) are observed. The mechanical and electrical studies reveal that the change of PDMS (elastomer:curing agent) ratio and the adding of PEDOT:PSS to PDMS layer result in the modification of PDMS's deformability and the increase of charge transportation, leading to the enhancement of sensing characteristics of the sensors.

SCCE10

, , , , , , and

To demonstrate electric discrimination of the nano-pattern for nano-artifact metrics, we fabricated and characterized a nano-convex-embedded Si MOSFET. The concept of electrical discrimination is to embed the nanostructure between the gate oxide and the Si channel of the MOSFET, and reflect the structure in the drain current. Spatial resolution in the channel direction is achieved by the drain voltage dependence of the channel pinch off position. The fabricated device with a nano-convex showed the increase of the on-resistance in the linear region and the increase of the drain conductance in the saturation region. These behaviors could be reproduced by the device simulation. The transfer characteristics in the subthreshold region showed the shift of the drain current curve to the positive voltage side by embedding a nano-convex. The overall behaviors were explained by the formation of a potential barrier in the channel under the nano-convex and its drain voltage dependence.

Nanofabrication

SCCF01

and

Using hardware to emulate biological functions is essential for the realization of more sophisticated brain-type information processing. For this purpose, up to now, various nonvolatile devices have been used to emulate complex functions such as spike-timing dependent plasticity. However, little research has been conducted on more complicated neural functions. In this study, we demonstrate neural functions such as paired-pulse facilitation (PPF) and paired-pulse depression (PPD), utilizing the larger time constant of the ionic diffusion found in molecular-gap atomic switches. Both the PPF and PPD emulated in this study are dependent on pulse intervals that are the same as those found in biological synapses. Simulations of how pulsed bias changes ion concentration at the subsurface, which in turn determines the precipitation/dissolution of metal atoms, provide a good explanation of the mechanisms of the PPF and the PPD observed in this study.

SCCF02

, , , , and

Reservoir computing (RC), a low-power computational framework derived from recurrent neural networks, is suitable for temporal/sequential data processing. Here, we report the development of RC devices utilizing Ag–Ag2S core–shell nanoparticles (NPs), synthesized by a simple wet chemical protocol, as the reservoir layer. We examined the NP-based reservoir layer for the required properties of RC hardware, such as echo state property, and then performed the benchmark tasks. Our study on NP-based reservoirs highlighted the importance of the dynamics between the NPs as indicated by the rich high dimensionality due to the echo state property. These dynamics affected the accuracy (up to 99%) of the target waveforms that were generated with a low number of readout channels. Our study demonstrates the great potential of Ag–Ag2S NPs for the development of next-generation RC hardware.

SCCF03

and

Resistive random access memories (ReRAMs) have attracted much attention as a next-generation non-volatile memory. We focused on a NiO-based ReRAM in this study because it contains the magnetic element Ni. As-fabricated devices exhibit ideal memristive operation. When bias was swept in one polarity, the resistance decreased by repeating the bias sweeping. Conversely, by changing the polarity of the sweeping bias, the resistance gradually increased by repeating the bias sweeping. A steep increase in current was observed when continuing bias sweeping in the polarity that decreased the resistance. The resistance after that was lower than 12.9 kΩ, which suggests the formation of a Ni atom chain. Conductance quantization, with a unit of 2e2/h, also suggested the said formation. When a magnetic field was applied, the unit of conductance quantization appeared to change from 2e2/h to e2/h.

SCCF04

, , , and

We report magnetite (Fe3O4) nanoparticles synthesized by the hydrothermal method in egg white solution. The egg white solution is a good surfactant which can also reduce the phase impurities in the prepared products. The structure and magnetic properties of the synthesized samples were studied as a function of calcination temperatures. The samples were characterized by X-ray diffraction and selected area electron diffraction which indicates the cubic spinel ferrite structure without any other phase impurities in all synthesized Fe3O4 nanoparticles. The particle sizes ranging from 5 to 50 nm were observed by transmission electron microscopy. The X-ray absorption near edge structure spectra show the Fe3+/Fe2+ mixed oxidation states in all samples. The hysteresis loops at room temperature were detected in the Fe3O4 nanoparticles suggesting the ferrimagnetic behavior. A positive correlation was found between reaction temperature and saturation magnetization.

SCCF05

, , and

We measured, in vacuum, the temperature dependence of the Forming time in a Ag/Ta2O5/Pt gapless-type atomic switch, the results of which clearly suggest that the rate limiting process is the diffusion of Ag+ cations in the Ta2O5 layer. It is known that water molecules adsorbed in a Ta2O5 matrix enhance the diffusion of Ag+ cations, and this study further shows that desorbing water molecules by annealing at 623 K, which is known to be the desorption temperature of water molecules from Ta2O5 matrixes, increases the diffusion barrier height from 0.38  to 1.1 eV. We found that annealing at much lower temperatures, e.g. 343 K, for a longer period of time can also desorb the said water molecules. Accordingly, we measured the Forming time in air, which resulted in a completely different temperature dependence. The rate limiting process of Forming changes from ionic diffusion to nucleation when the process is conducted in air.

SCCF06

, , , , and

Electrodeless photo-assisted electrochemical etching was performed in an N-polar GaN high-electron-mobility transistor to obtain device isolation with a flat wet etching surface. The root mean square roughness of the surface after 30 nm etching was 3.4 nm, and a relatively flat etched surface was confirmed. The resistance between the electrodes changed from around 102 Ω to approximately 108 Ω by 30 nm etching.

SCCF07

, , , , , and

In this study, we fabricated nano-capillary emitter arrays for stable ion emission of ionic liquid electrospray thrusters, employing the fabrication of field emitter arrays or gated nano electron sources. A nano-capillary emitter was successfully fabricated with a 100–300 nm capillary diameter, which prevented ionic liquid leakage by significantly increasing the fluidic impedance of the ionic liquid compared to a previously proposed emitter. An ion emission experiment was conducted with 1-ethyl-3-methylimidazolium dicyanamide as the propellant. Ion emission started at a low voltage of 61 V owing to a small gap of approximately 1 μm between the emitter and extractor electrode. The maximum current density was 43 mA cm−2 on the positive side and −13 mA cm−2 on the negative side without leakage of the ionic liquid, which was more than 100 times higher than that of conventional electrospray thrusters. Moreover, we obtained continuous ion emission without current intercepted by the extractor.

SCCF08

, , , , and

In the coming years, threshold switching based on insulator-metal phase transition (IMT) devices is expected to be applied in selector devices for reducing sneak currents and building blocks for neuromorphic computing. In this work, we fabricated IMT devices using NbOx as an insulator layer and compared the device performance for two cases with metal electrodes: an asymmetric electrode device of stacked Pt/Ti/TiN/NbOx/Pt films, and a symmetric electrode device of Pt/Ti/TiN/NbOx/TiN/Ti/Pt. We changed the atomic ratio of Nb and O in NbOx films by controlling the argon-to-oxygen flow ratio during reactive sputtering. In the case of the asymmetric device, we observed a clear hysteresis loop in the current-voltage characteristics, indicating threshold switching only when a positive voltage was applied to the top electrode. We proposed a model in which a domain of the NbO2 phase is present in contact with a filament with oxygen vacancies, with its size changing depending on the direction of the electric field. On the other hand, in the case of the symmetrical device, nearly symmetric hysteresis loops were observed for both positive and negative voltage sweeps.

Inorganic nanomaterials

SCCG01

Magneto-plasmonic phenomena on CoPt/ZnO/Ag stacked nanolayers were investigated in polar Kerr excitation geometry. The nanolayer displays an ideal square-shaped out-of-plane magnetic hysteresis loop with a large polar Kerr activity. The surface plasmon resonances (SPRs) induce drastic magneto-optical (MO) responses with a narrow linewidth and a sharp reversal of the Kerr polarity. The CoPt/ZnO/Ag nanolayer is a candidate for high-performance chemical sensor elements. For example, an MO‒SPR sensor with a Pd surface layer was applied for hydrogen detection. The Pd layer acts as a transducer for both hydrogen detection and plasmon response. Substantial MO responses to the exposure of hydrogen gas can be observed. The results show that the polarized sensing signal is not affected by the intensity of the incident light. The use of the new type of MO‒SPR element with polar Kerr activity leads to a stable chemical sensing system with a simple measurement configuration.

SCCG02
The following article is Free article

, , , , and

Spotlights 2021

Thin film growth of ZnxMn1−xS on a Si (100) substrate by sputtering was investigated for nonpolar AlN film growth on Si (100) substrate. The ZnxMn1−xS buffer layer reduces the large differences in thermal expansion coefficient and lattice constants between AlN and Si. Although the solubility of ZnS in MnS is less than 5% at 800 °C in bulk form, the insertion of a room-temperature MnS layer between ZnxMn1−xS and Si enabled (100)-oriented cubic-ZnxMn1−xS film growth even at x = 9.5%, which is a metastable phase and a phase separation region in bulk form. On the (100)-oriented cubic ZnxMn1−xS film, nonpolar AlN growth was achieved by sputtering. Furthermore, X-ray photoelectron spectroscopy measurements revealed that the ZnxMn1−xS film improved the stability of the AlN/ZnxMn1−xS interface. ZnxMn1−xS has the potential to enable nonpolar AlN growth on large-diameter Si (100) substrates.

SCCG03

, , , , and

The effect of an Al buffer layer on the growth of AlN on a Si (111) substrate was investigated to develop an all-sputtered GaN film on a Si (111) template substrate. The X-ray diffraction method revealed an obvious improvement in the crystallinity of an AlN layer on the initial layer. At the interface structure, AlN film without the Al buffer layer exhibited surface nitridation of the Si surface, which degraded the AlN crystal growth. After investigating various growth conditions such as substrate temperature and layer thickness, we achieved the all-sputtered epitaxial growth of a GaN/AlN/Si substrate. The substrate temperature was below 650 °C, and the total thickness was less than 200 nm, which is beneficial as regards the cost efficiency of the template substrate for nitride semiconductors.

SCCG04

, , , and

Research using X-ray diffraction (XRD) remains to be accelerated in spite of its importance in materials science. Automated noise separation or optimization of measurement time in XRD is beneficial for discovering materials. This study analyzes two-dimensional XRD (2D-XRD) with density-based clustering to accelerate XRD. This clustering technique can separate diffraction pattern signals from noises, even with low signal-to-noise ratio (S/N) 2D-XRD. Moreover, we found that the crystalline degree information in composition spreads is captured based on density. This information requires a long time to be captured with conventional one-dimensional detectors or scintillation counters. Therefore, these findings lead to dramatic reduction and optimization of measurement time to improve S/N. The proposed procedure is applicable with 2D detector measurements.

Nanoimprint, hybrid-NIL, biomimetics, and functional surfaces

SCCJ01

, , , , , , , , , et al

Effects of new micro-structure design, a flame treatment process, and the addition of semifluorinated silane (SFS) on an improvement of superhydrophobicity and superoleophobicity of PDMS surfaces were investigated in this study. PDMS and PDMS-SFS surfaces with the special design of circular rings and eight stripe supporters (C-RESS) with a hexagonal guard ring (HGR) structure were found to be the most durable which maintained their superhydrophobicity after scratch tests. The flame treatment at 700 °C/15 s formed a unique nanoscale flower-like on the PDMS-SFS surface. A formation of re-entrant micro-structure on the C-RESS with the HGR structure exhibited superhydrophobicity and superoleophobicity with water and ethylene glycol contact angles of 160.5° ± 2.0° and 160.2° ± 6.6°, respectively. The addition of the SFS was found to increase surface roughness and decrease surface energy. In conclusion, the flame-treated C-RESS with the HGR structure on the PDMS-SFS surface is considered one of the promising antifouling approaches in several applications.

SCCJ02

, , and

Exposure to a single pulse generated from a femtosecond pulsed laser for a duration of 100 fs at a wavelength of 800 nm and a laser fluence of 0.31 J cm−2 resulted in chromium (Cr) deposition on photocured insoluble imprint resin patterns to be ablated selectively; however, Cr deposition on synthetic quartz surfaces was retained. Single-pulse development of Cr-deposited imprint micro/nano patterns occurred at the same laser fluence, independent of the presence of a laser absorbing dye. Laser ablation of the cured resin near the Cr deposition was confirmed by atomic force microscopy. Depth profile analyses confirmed that Cr species were partially embedded in the cured resin underneath the layer of Cr. These results suggest that the mechanism of laser ablation was due to the rapid thermal expansion of resin near the embedded Cr species and deposited Cr layer rather than the multi-photon absorption of the cured resin.

SCCJ03

, , , , and

Selective electrodes were modified by using the magnetic molecularly imprinted polymer (MMIP) technique on a screen-printed electrode (SPE) to detect bisphenol A (BPA). Superparamagnetic iron oxide nanoparticles (SPIONs) greatly enhance electrochemical signals due to their superparamagnetic properties. The SPIONs are non-toxic, biocompatible and highly stable. The SPIONs, especially magnetite (Fe3O4), were synthesized from ferrous chloride and ferric chloride using a chemical co-precipitation method. The crystalline structure of the synthesized SPIONs was obtained by X-ray diffractometer, representing cubic inverse spinel structure like magnetite. The synthesized SPIONs had particle sizes of 9.87 ± 2.67 nm, which was confirmed by transmission electron microscope. The magnetic property was measured by a vibrating sample magnetometer, which presented the saturated magnetization, magnetic remanence value and coercivity as 48.76 emu g−1, 0.497 emu g−1 and 6.265 Oe, respectively. The surfaces of modified electrodes were characterized by a scanning electron microscope, which revealed BPA template cavities on the electrode surface. The electrochemical properties were studied by cyclic voltammetry and amperometry. The bisphenol A-magnetic molecularly imprinted polymer electrode (BPA-MMIP/SPE) has a sensitivity of 2.909 with a limit of detection of 2.053 × 10−8 M. The concentration range for BPA detection is 2.5 × 10−8 to 1.00 × 10−4 M, which can be applied to detection in a real sample.

SCCJ04

, , , , , , , , , et al

Lubricant-infused textured surfaces have gained much attention as liquid-repellent surfaces owing to high surface stability compared to their superhydrophobic counterparts. In this study, polydimethylsiloxane (PDMS) micro-structures (width: 20 μm, height: 80 μm), were fabricated using soft lithography. Effects of micro-structural geometry, including pillar-type (pillar, discrete ridge) and hole-type (hole, continuous ridge, circular rings with eight stripe supporters), on infiltration capability of the lubricants with different viscosity (Krytox-103; η: 0.131 Pa.s, Krytox-105; η: 0.737 Pa.s, paraffin oil; η: 0.112 Pa.s) were investigated. Partial infiltration was observed on the pillar-type micro-structures, while more completed infiltration was obtained on the hole-type micro-structures. More viscous Krytox-105 resulted in completed infiltration for all micro-structures, thus creating a slippery liquid-infused porous surface (SLIPS). The best SLIPS, signified by a low sliding angle and a fast speed of water droplet, prepared from the hole pattern infused with Krytox-105 exhibited good anti-fouling performance against chlorophyll and milk yogurt.

BioMEMS, lab-on-a-chip, and nanobiotechnology

SCCK01

, , , , and

With introducing the possibility of early diagnosis through biomarker detection, this method has become important in the field of disease diagnosis. Particle sorting based on conventional digital microfluidics (DMF) mostly employs magnetic beads. In this study, the micropillar-based DMF device confirmed the ability of microbead filtering to detect specific biomarkers. The proposed device was implemented using micro-electromechanical systems, and SU-8 micropillar was realized based on the micro-patterning technology. DMF was able to control droplet movements considering the principle of electrowetting on dielectric. The moving speed of a droplet varied according to the electrode shape and applied voltage. As the droplet moved, the polystyrene bead was sorted corresponding to the interval of the fabricated SU-8 micropillar.

Microsystem technology and MEMS

SCCL01

, , and

This paper presents a microfabrication technique for vapor cells, filled with cesium (Cs), from an on-chip dispensing component. Wafer-level cell fabrication with a Cs dispenser has gained considerable attention for contributing to the high performance of miniaturized atomic devices. However, the large size of the dispenser and released residual gases can be limitations to miniaturization and the stability of atomic vapor. We present a cell structure that overcomes these limitations and offers a single-mask process with typical Si-based microfabrication at the wafer level. The cell consists of an optical cavity connected to a Cs-dispensing component via microchannels. Microfabricated Si grooves with multiple re-entrant microstructures are employed for effective Cs production from cesium azide. In our experiment, Cs was successfully filled in a cell by heating at 330 °C for 10 min. The stability of the Cs atomic density in the cell was confirmed over a period of 5 months.

SCCL02

and

Pillar arrays have been extensively used in science and engineering, with major applications at the micro or nano scale, requiring a control technique that can operate in a small, confined area. In this study, an active control method for the surface profile was developed using elastic micropillar arrays with magnetic tips. Single-, double-, and multiple-magnetic pillar arrays were fabricated from poly(dimethylsiloxane) and carbonyl iron particles using a mould prepared by laser drilling. The pillar behaviour was investigated in static and moving magnetic fields. In a static magnetic field, a single pillar is bent, double pillars are attached to a pair, and multiple pillars form pair and line patterns parallel to the magnetic field direction at a field strength of 55 mT and 85 mT, respectively, for a horizontal magnetic field. In a moving magnetic field, the propagating deformation of pillar arrays could successfully transport an 8 mm diameter plastic bead horizontally across the pillared surface at a speed of 4 mm s−1.

SCCL03

and

In recent years, micro robots have been actively studied for swarm applications. For example, micro hexapod robots have remarkable mobility on rough terrains. To apply micro hexapod robots in swarm explorations, the fabrication costs of the robots must be reduced. In this study, we propose a hexapod robot for swarm applications, assembled from a single flexible printed circuit (FPC) sheet. The necessary components for swarm applications (the body frame, legs, micro actuators, walking linkages, driving circuits, and microcontroller) are integrated into one FPC sheet. Our robot is assembled by folding one FPC sheet, and eliminating the need to handle and align multiple components during assembly. Thus, the robot can be fabricated cost effectively in short time. Moreover, we perform a driving test of the walking linkage and a walking test of the hexapod robot to demonstrate its effectiveness.

SCCL04

, , , , , and

An antireflection (AR) structure that incorporates a subwavelength grating (SWG) is a promising candidate for suppressing the Fresnel reflection of a silicon prism used as a component of an injection-seeded THz wave parametric generator (is-TPG) to improve the efficiency in extracting THz waves. Here, a two-dimensional binary AR-SWG with a 20 μm period is designed and then numerically and experimentally realized. The measured transmittance of the AR-SWG is discovered to be greater than 80% from 0.5 to 4.0 THz and to exceed 90% from 1.25 to 2.83 THz. Moreover, the AR-SWG is tightly attached to the Si prism of the is-TPG by direct-bonding technology. Compared to the is-TPG without AR-SWGs, the output increases by 1.3–1.5 times from 1.09 to 2.52 THz. The improvement in THz light extraction efficiency is thus successfully demonstrated.

SCCL05

, , and

β-Ga2O3 has a high potential for power device applications because of a high Baliga's figure and the availability of large-scale wafers. However, the piezoresistive effect of β-Ga2O3 has not been investigated in detail, and its piezoresistive coefficient has not been reported. This study evaluates the piezoresistive coefficient of β-Ga2O3 in the <010> direction using a mechanical stress simulator and a device simulator, which includes our piezoresistive effect model. In this study, the piezoresistive effect model and simulation method are applied to β-Ga2O3 for the first time. The piezoresistor model of β-Ga2O3 is simulated to evaluate the piezoresistive coefficient of β-Ga2O3. The experimentally obtained gauge factor with and without the contact effect is −5.8 and −3.6, respectively. The piezoresistive coefficient with and without the contact effect is −2.0 × 10−11 Pa−1 and −1.2 × 10−11 Pa−1, respectively. The piezoresistive coefficient is used to evaluate the piezoresistive effect at 1000 °C through thermal analysis.

SCCL06

, and

The development of ionic liquid electrospray thrusters with highly precise needle-emitter arrays is reported. Micro-electro-mechanical systems process technology is applied in the fabrication process of needle-emitter arrays to achieve a uniform shape of emitter tips. The resulting emitter-array chips were then tested to gather the current–voltage characteristics of emitter arrays with different numbers of emitters. The maximum ion current extracted from the emitters increased almost in proportion with the number of emitters. When the current–voltage curves of 81-, 169-, and 361-emitter chips were compared with a constant gap distance between the emitter and extractor electrodes, the onset voltage of ion emission was nearly constant because the emitter tips on all the chips were uniform in shape. Moreover, the current–voltage curves had similar slopes for the different number of emitters after the onset voltage, which demonstrates the uniform ion current output of all the emitter arrays.

SCCL07

, and

The self-propagated exothermic reaction characteristics of Al/Ni multilayer powders can be employed as heat sources and controlled by cold-rolling conditions. This feature depends on the heat propagation inside of crystal grains. Therefore, the crystallographic factor that relates cold-rolling conditions to exothermic characteristics should be identified. To determine the change trend in crystallite size with the increase in the number of rolling passes, the crystallite sizes in the Al/Ni multilayer powder were calculated using Scherrer's equation, and precise X-ray profiles were obtained using the synchrotron radiation X-ray diffraction method. The results indicate that the crystallite sizes were refined by increasing the number of rolling passes up to 30; from 30 to 40 passes, however, the crystallite sizes increased. It is assumed that, in addition to the Al/Ni multilayer powder being thin and multilayered, the increase in crystallite size at 40 passes allows for the smooth propagation of heat, consequently improving the exothermic characteristics. Therefore, crystallite size is the dominant parameter in the relationship between rolling conditions and exothermic characteristics.

SCCL08

, , and

Recently, micro walking robots have attracted considerable research interest, as they can move robustly in various terrains and are especially useful in swarm applications. To realize angle control for the robots, external sensors such as rotary encoders were attached to joints. When assembling external sensors and joint components, careful handling and positioning are needed. However, robots requiring intricate assembly of multiple components are not optimal for the above-mentioned applications. We proposed the integration of robot frames and capacitive angle sensors to one compliant sheet. Moreover, a stacked electrostatic angle sensor was developed by alternately folding two ribbon electrodes. The angle was estimated from the capacitance based on the distance between the electrodes. The sensor was designed and fabricated. Furthermore, we constructed the angle feedback control system using the proposed sensor. Feedback control within the range of 0° to 30° could be realized.

SCCL09

, , , , and

This paper describes the effect of molten salt reduction on exothermic characteristics of Ti/reduced-SiO2 nanoparticles. Sputtered Ti/Si multilayer film is known to show exothermic reaction by electrical shock or mechanical impact during intermetallic compound formation. So far, we demonstrated that SiO2/Ti nanoparticles, which are produced by combining atomized heating for porous SiO2 nanoparticle and sputtering for Ti deposition, show exothermic reaction. if an amount of oxygen in SiO2 nanoparticles can be changed, it is expected that exothermic characteristics can be controlled more accurately. In this paper, the effect of reduction on exothermic reaction is investigated, and the mechanism of the reduced effect is discussed based on the result of differential scanning calorimetry analysis.

SCCL10

, and

This paper describes the influence of Zeta potential on pore arrangement in porous silica and alumina particles. The ultrasonic atomization method is utilized to produce silica or alumina porous particles from the slurry including the oxide nanoparticles and polystyrene latex (PSL) particles. The whole particle shape and pore arrangement are determined by the combination of the sign of Zeta potential between the oxide nanoparticles and PSL particles as well as the mixture ratio of the oxide to PSL. The mechanism is discussed through observation with cross-sectional scanning electron microscopy.

SCCL11

, , , , and

In recent years, soft robots have been attracting interest because of their potential for new application fields. Among soft actuators for soft robots, liquid-to-gas phase change actuators have the advantage of structural simplicity. However, the actuators require an external heater to vaporize a low boiling point liquid inside the actuator. The heater typically consists of a solid electrode that often reduces the durability and flexibility of the heater. In this study, we propose a small liquid-to-gas phase change actuator with an integrated liquid metal heater. A low boiling point liquid and liquid metal heater were encapsulated in a nylon-polyethylene bladder using wire molding. The proposed soft actuator has high flexibility and durability against bending because of the material used. We experimentally characterized actuators of different sizes with respect to the generated force, displacement, and time response. We also confirmed that the soft actuator could work even after bending 1000 times.

SCCL12

, , , and

In recent years, tactile sensors comprising flexible materials have been studied for soft robotics. Several conventional tactile sensors are based on a microchannel filled with liquid metal, for flexibility. In this study, we proposed a soft tactile sensor that is vertically embedded with a liquid metal strain gauge in an elastomer using a narrow wire mold. Despite the narrow and small design, the strain gauge can detect an applied force. In addition, the design has the potential to be arrayed in a dense setting. In this study, we evaluated our proposed tactile sensor with a single strain gauge and confirmed its sensing capability.

SCCL13

, , , , , , and

Husbandry training (HT) is done to facilitate healthcare, treatment or animal research with zoo animals. At the Omuta City Zoo, HT of masked palm civets (Parguma larvata) is used to aid the taking of blood samples. With HT the burden on the animal can be remarkably reduced without the use of anesthesia. However, it is necessary to devise a blood sampling method using HT that reduces stress on the animals as much as possible. To devise such a stress-reducing method, quantitative assessments related to stress are needed. As heart rate increases with stress, we attempted to devise a non-invasive method for measuring heart rate. The attachment of sensors not only stresses the animal but also requires much effort from keepers. Therefore, a laser Doppler blood flowmeter (LDF) was embedded in the HT board, and an LDF and an algorithm to eliminate body motion artifacts were used in measurement attempts. As a result, a clear pulse wave was detected in some sections, and heart rate was calculated from the pulse wave.

SCCL14

, and

We report the room temperature bonding of LiNbO3 and Si wafers based on the use of Si nanolayers. The proposed method employs physical sputtering, which simultaneously activates the surface of an etched Si wafer and forms a Si nanolayer on the surface of a LiNbO3 wafer. Following sputtering, both wafers are immediately brought into contact and the newly formed Si nanolayer acts as a nanoadhesive. The data presented herein demonstrate that this technique is more effective at directly bonding LiNbO3 and Si than the conventional surface-activated bonding method. Following activation, the bonded surface energy, which reflects the bond strength, was estimated to be approximately 2.2 J m−2. This result indicates that the bonding was strong enough to withstand the processes associated with the fabrication of microelectronics devices, including wafer thinning.

SCCL15

, , and

Al/Ni multilayer film shows a self-propagating exothermic reaction when a minute external shock is applied. Using the reactive film as a heat source for soldering enables us to complete the bonding process instantaneously within a second because of its fast reaction propagation. However, cracks are introduced in the reacted NiAl layer after bonding, which becomes a big problem in the viewpoint of thermal and mechanical reliability. In this study, a laser-induced multiple points ignition technique is developed, and reaction propagation characteristics and crack introducing phenomenon are investigated toward crack propagation control.

Atomic layer processing

SCCM01

, , , , , , and

To clarify a factor on the reliability, we investigated the characteristics of carbon-doped indium oxide (InO1.16C0.04) thin-film transistors by varying the O2 concentration from 0.001% to 100% at atmospheric pressure under negative bias stress (NBS) and positive bias stress (PBS). A positive threshold voltage (Vth) shift was observed when the bias stress was changed from NBS to PBS. The positive Vth shift increased with increasing bias voltage irrespective of the O2 concentration. This behavior was attributed to the reaction between adsorbed O2 molecules on the back side of the InO1.16C0.04 channel and the electrons in the channel being strongly enhanced under PBS. We have found the magnitude and direction of the bias stress play an important role in the positive Vth shift under environments included O2 at concentrations as low as 0.001%.