Accelerating interest in silicon nitride thin film material system continues in both academic and industrial communities due to its highly desirable physical, chemical, and electrical properties and the potential to enable new device technologies. As considered here, the silicon nitride material system encompasses both non-hydrogenated (SiNx) and hydrogenated (SiNx:H) silicon nitride, as well as silicon nitride-rich films, defined as SiNx with C inclusion, in both non-hydrogenated (SiNx(C)) and hydrogenated (SiNx:H(C)) forms. Due to the extremely high level of interest in these materials, this article is intended as a follow-up to the authors' earlier publication [A. E. Kaloyeros, F. A. Jové, J. Goff, B. Arkles, Silicon nitride and silicon nitride-rich thin film technologies: trends in deposition techniques and related applications, ECS J. Solid State Sci. Technol., 6, 691 (2017)] that summarized silicon nitride research and development (R&D) trends through the end of 2016. In this survey, emphasis is placed on cutting-edge achievements and innovations from 2017 through 2019 in Si and N source chemistries, vapor phase growth processes, film properties, and emerging applications, particularly in heterodevice areas including sensors, biointerfaces and photonics.

The Electrochemical Society (ECS) was founded in 1902 to advance the theory and practice at the forefront of electrochemical and solid state science and technology, and allied subjects.
ISSN: 2162-8777
JSS is a peer-reviewed journal covering fundamental and applied areas of solid-state science and technology, including experimental and theoretical aspects of the chemistry, and physics of materials and devices.
Alain E. Kaloyeros et al 2020 ECS J. Solid State Sci. Technol. 9 063006
Hitoshi Morinaga 2024 ECS J. Solid State Sci. Technol. 13 074006
This paper reviews how today's CMP (Chemical Mechanical Polishing) slurries have been innovated and explores ideas for driving further evolution. In early semiconductor polishing, Mechanical Polishing was used, focusing on controlling abrasive particle sizes, leading to the use of alumina abrasives via wet classification. As materials shifted from germanium to silicon and applications transitioned from radios to integrated circuits, research was conducted on the material and size of abrasives to improve polishing accuracy, and silica was finally adopted. Subsequently, in pursuit of higher purity, ultrapure colloidal silica using organic raw materials was introduced in 1985 and became the standard in current semiconductor CMP. The first report on CMP dates back to Schmidt's 1962 paper. Although the report was based on visual inspection, the approach was validated to be reasonable with today's inspection technology. CMP achieved further defect reduction by integrating with Clean Technology. Throughout its history, polishing consistently pursued uniform action on surfaces, driving contaminant reduction, and occasionally achieving significant breakthroughs through the combination of diverse technologies. Innovations are born when disparate technologies, evolving independently until a certain point, interact and combine according to market needs.
Roy Knechtel et al 2021 ECS J. Solid State Sci. Technol. 10 074008
Wafer bonding is an important process step in microsystem technologies for processing engineered substrates and for capping. Usually, the work and literature are focused on the bonding of the main wafer area. However, in recent years MEMS technologies have become more complex, with more process steps after wafer bonding. Accordingly, the wafer edge is becoming more and more important, and must be engineered. Methods for realizing this are discussed in this paper.
S. J. Pearton et al 2016 ECS J. Solid State Sci. Technol. 5 Q35
Gallium Nitride based high electron mobility transistors (HEMTs) are attractive for use in high power and high frequency applications, with higher breakdown voltages and two dimensional electron gas (2DEG) density compared to their GaAs counterparts. Specific applications for nitride HEMTs include air, land and satellite based communications and phased array radar. Highly efficient GaN-based blue light emitting diodes (LEDs) employ AlGaN and InGaN alloys with different compositions integrated into heterojunctions and quantum wells. The realization of these blue LEDs has led to white light sources, in which a blue LED is used to excite a phosphor material; light is then emitted in the yellow spectral range, which, combined with the blue light, appears as white. Alternatively, multiple LEDs of red, green and blue can be used together. Both of these technologies are used in high-efficiency white electroluminescent light sources. These light sources are efficient and long-lived and are therefore replacing incandescent and fluorescent lamps for general lighting purposes. Since lighting represents 20–30% of electrical energy consumption, and because GaN white light LEDs require ten times less energy than ordinary light bulbs, the use of efficient blue LEDs leads to significant energy savings. GaN-based devices are more radiation hard than their Si and GaAs counterparts due to the high bond strength in III-nitride materials. The response of GaN to radiation damage is a function of radiation type, dose and energy, as well as the carrier density, impurity content and dislocation density in the GaN. The latter can act as sinks for created defects and parameters such as the carrier removal rate due to trapping of carriers into radiation-induced defects depends on the crystal growth method used to grow the GaN layers. The growth method has a clear effect on radiation response beyond the carrier type and radiation source. We review data on the radiation resistance of AlGaN/GaN and InAlN/GaN HEMTs and GaN–based LEDs to different types of ionizing radiation, and discuss ion stopping mechanisms. The primary energy levels introduced by different forms of radiation, carrier removal rates and role of existing defects in GaN are discussed. The carrier removal rates are a function of initial carrier concentration and dose but not of dose rate or hydrogen concentration in the nitride material grown by Metal Organic Chemical Vapor Deposition. Proton and electron irradiation damage in HEMTs creates positive threshold voltage shifts due to a decrease in the two dimensional electron gas concentration resulting from electron trapping at defect sites, as well as a decrease in carrier mobility and degradation of drain current and transconductance. State-of-art simulators now provide accurate predictions for the observed changes in radiation-damaged HEMT performance. Neutron irradiation creates more extended damage regions and at high doses leads to Fermi level pinning while 60Co γ-ray irradiation leads to much smaller changes in HEMT drain current relative to the other forms of radiation. In InGaN/GaN blue LEDs irradiated with protons at fluences near 1014 cm−2 or electrons at fluences near 1016 cm−2, both current-voltage and light output-current characteristics are degraded with increasing proton dose. The optical performance of the LEDs is more sensitive to the proton or electron irradiation than that of the corresponding electrical performances.
R. Loo et al 2025 ECS J. Solid State Sci. Technol. 14 015003
After a short description of the evolution of metal-oxide-semiconductor device architectures and the corresponding requirements on epitaxial growth processes, the manuscript describes the material properties of complicated Si/SiGe multi-layer stacks used for complementary field effect transistor (CFET) devices. They contain two different Ge concentrations and have been grown using conventional process gases. A relatively high growth temperature is used to obtain acceptable Si and SiGe growth rates. Still island growth has been suppressed for Ge concentrations up to 40%. Excellent structural and optical material properties of the Si/SiGe multi-layer stack will be reported, with up to 3 + 3 Si channels in the top and bottom part of the stack, respectively. The absence/presence of lattice defects has also been verified by room-temperature photoluminescence measurements. Photoluminescence measurements at low temperatures are used to study band-to-band luminescence from individual sub-layers and to illustrate the optical material quality of the CFET stack.
Alain E. Kaloyeros and Barry Arkles 2023 ECS J. Solid State Sci. Technol. 12 103001
In Part I of a two-part report, we provide a detailed and systematic review of the latest progress in cutting-edge innovations for the silicon carbide (SiC) material system, focusing on chemical vapor deposition (CVD) thin film technologies. To this end, up-to-date results from both incremental developments in traditional SiC applications as well major advances in novel SiC usages are summarized. Emphasis is placed on new chemical sources for Si and C, particularly in the form of single source SiC precursors as well as emerging molecular and atomic scale deposition techniques, with special attention to their effects on resulting film properties and performance. The review also covers relevant research and development efforts as well as their potential impact on and role in the introduction of new technological applications. Part II will focus on findings for physical vapor deposition (PVD) as well as other deposition techniques.
Chunlin Zhou et al 2021 ECS J. Solid State Sci. Technol. 10 027005
In recent years, betavoltaic batteries have become an ideal power source for micro electromechanical systems. Betavoltaic battery is a device that converts the decay energy of beta emitting radioisotope sources into electrical energy using transducers. They have the advantages of high energy density, long service life, strong anti-interference ability, small size, light weight, easy miniaturization and integration, thus it has become a research hotspot in the field of micro energy. However, to date, the low energy conversion efficiencies as well as technological limitations of betavoltaic batteries impede their further application. In this review, the theory of betavoltaic energy conversion and recent understanding of the ideal material and structure design of the betavoltaic batteries for efficient exciton production, dissociation and charge transport is described, as well as recent attempts to realize optimum results. This review article concludes by identifying the remaining challenges for the improvement of battery performance and by providing perspectives toward real application of betavoltaic batteries.
Tejas Chennappa and Sudha D. Kamath 2024 ECS J. Solid State Sci. Technol. 13 077002
This comprehensive review article discusses the brief history, development, and applications of phosphor-based optical thermometers, which have become increasingly important in various fields due to their ability to measure temperature remotely and with high precision. The article highlights the importance of choosing the suitable phosphor material for a given application, considering factors such as crystal structure and mode of thermometry. It then delves into the structural importance of phosphors, discussing their luminescent properties. The review focuses particularly on fluorescence-based temperature-dependent techniques, including the fluorescence intensity ratio method, which has garnered significant attention due to its straightforward implementation, affordability, and self-referential nature. The article discusses the mathematical formulations underlying this method, including the Boltzmann distribution and the effective lifetime calculation. The review also explores the concept of dual-mode thermometry, which involves the use of multiple luminescent centers to enhance sensitivity and thermal stability. This approach is particularly useful in applications where single-emitter thermometers are vulnerable to variations in excitation intensity or detector stability. The article highlights the advantages, limitations, and future developments of phosphor-based thermometers, including their ability to measure temperature remotely and with high precision.
Highlights
Suitability of double perovskite phosphors for optical thermometry applications.
Double perovskite structure influence on the sensitivities of temperature sensors.
Fluorescence intensity ratio method is effective for the interpretation of thermal sensor sensitivities.
Phosphors can be used as optical temperature sensors at higher temperatures.
F. Nagano et al 2023 ECS J. Solid State Sci. Technol. 12 033002
To obtain reliable 3D stacking, a void-free bonding interface should be obtained during wafer-to-wafer direct bonding. Historically, SiO2 is the most studied dielectric layer for direct bonding applications, and it is reported to form voids at the interface. Recently, SiCN has raised as a new candidate for bonding layer. Further understanding of the mechanism behind void formation at the interface would allow to avoid bonding voids on different dielectrics. In this study, the void formation at the bonding interface was studied for a wafer pair of SiO2 and SiCN deposited by plasma enhanced chemical vapor deposition (PECVD). The presence of voids for SiO2 was confirmed after the post-bond anneal (PBA) at 350 °C by Scanning Acoustic Microscopy. Alternatively, SiCN deposited by PECVD has demonstrated a void-free interface after post bond annealing. To better understand the mechanism of void formation at the SiO2 bonding interface, we used Positron Annihilation Spectroscopy (PAS) to inspect the atomic-level open spaces and Electron Spin Resonance (ESR) to evaluate the dangling bond formation by N2 plasma activation. By correlating these results with previous results, a model for void formation mechanism at the SiO2 and the absence of for SiCN bonding interface is proposed.
Sean W. King 2015 ECS J. Solid State Sci. Technol. 4 N3029
Over the past decade, the primary focus for improving the performance of nano-electronic metal interconnect structures has been to reduce the impact of resistance-capacitance (RC) delays via utilizing insulating dielectrics with ever lower values of dielectric permittivity. The integration and implementation of such low dielectric constant (i.e. low-k) materials has been fraught with numerous challenges. For intermetal and interlayer (ILD) low-k dielectrics, these challenges have been largely associated to integration with metal interconnect fabrication processes and well documented and reviewed in the literature. Although equally important, less attention has been given to other low-k dielectrics utilized in metal interconnect structures that are commonly referred to as low-k dielectric barriers (DB), etch stops (ES), and/or Cu capping layers (CCL). These materials present numerous challenges as well for integration into metal interconnect fabrication processes. However, they also have more stringent integrated functionality requirements relative to low-k ILD materials that serve only a basic purpose of electrically isolating adjacent metal lines. In this article, we review the integration challenges and associated integrated functionality requirements for low-k DB/ES/CCL materials with a focus on the current status and future direction needed for these materials to facilitate both Moore's law (i.e. More Moore) and More than Moore scaling.
Carol Praveen R et al 2025 ECS J. Solid State Sci. Technol. 14 037004
Biosensors using Waveguide Bragg Grating (WBG) technology have gained a lot of attention due to their many useful properties, including their sensitivity, robustness, and suitability for lab-on-a-chip applications. These biosensors must be designed from Maxwell's equations for optical waveguide geometries and numerical solutions for these involve formidable calculations; optimizing is therefore not easy or fast. To overcome these challenges, a hexagon-shaped refractive index-based biosensing approach is introduced for strip WBG structures, leveraging Bayesian Maximum-Entropy Asymmetric Regularized Quantized Decision Osprey Optimization Networks. This work combines Bayesian asymmetric quantized neural networks (BAQNN) with a Maximum-Entropy Regularized Decision Transformer (MERDT) alongside with the use of Osprey Optimization Algorithm (OOA) to enhance the accuracy of the sensing parameters estimate. The method achieves over 99.9% mode classification accuracy and predicts effective refractive index () having a 0.5% mean absolute error (MAE), while sensitivity, quality factor, and reflectivity predictions exhibit MAEs below 3% with a confinement loss of 0.06. Applying this model to infection detection, this approach leads to faster design cycles than required for sensor development, decreases computation time, and allows for effective optimization, making it valuable for future employment in various biosensor design.
Hebat-Allah S. Tohamy et al 2025 ECS J. Solid State Sci. Technol. 14 031007
We investigated development and characterization of composite membranes based on grafted graphene oxide (GO) with ethylenediamine tetraacetic acid (EDTA)/silane loaded onto polyethersulfone (PES) for dielectric applications. Fourier transform infrared analysis confirmed the presence of oxygen-containing groups on GO and the introduction of new peaks corresponding to EDTA/silane in the composite membrane. X-ray diffraction revealed a shift in diffraction peaks, indicating successful GO modification. Raman spectroscopy showed an increase in the defect ratio (ID/IG) after modification, suggesting an interaction between EDTA/silane and GO. Transmission electron microscopy is expected to show morphological changes in GO upon incorporation of EDTA/silane. Scanning electron microscopy of the PES membranes revealed a change in surface morphology from smooth to small pores with a non-smooth surface upon the addition of GO/EDTA/silane. UV–vis spectroscopy indicated a red shift in the absorption peak of GO/EDTA/silane compared to GO, suggesting a decrease in oxygenated groups. Dielectric properties measurements demonstrated enhanced dielectric permittivity and improved polarization response for PES membranes containing GO/EDTA/silane compared to the pristine PES membrane. The dielectric constant of PES at 1 kHz is 2.42 and increased gradually to 4.82 for the 15% GO/EDTA/Silane-doped composition. This improvement is attributed to the conductive network, high surface area, and functional groups of GO within the membrane.
Yu-Chen Liu et al 2025 ECS J. Solid State Sci. Technol. 14 035002
Two different T-shaped gate structures of high electron mobility transistors (HEMTs) were fabricated by using aluminum gallium nitride/gallium nitride (AlGaN/GaN) grown on silicon carbide (SiC) substrates. The design of these structures was analyzed to evaluate their direct current (DC), small-signal, and large-signal characteristics, with the primary objective of application in high-power output amplifiers. The T-shaped gate with silicon nitride (SiNx) exhibited larger parasitic capacitance, which hindered the effective enhancement of the cut-off frequency and maximum oscillation frequency. Conversely, the floating T-gate structure reduced parasitic capacitance, resulting in a significant increase in current density from 1169 to 1530 mA mm−1. Additionally, the cut-off frequency (fT) and maximum oscillation frequency (fmax) were improved to 87.2 GHz and 77.1 GHz, respectively while the power-added efficiency reached 35.1% at 3 GHz.
Meihe Zhang et al 2025 ECS J. Solid State Sci. Technol. 14 035001
The mainstream optimization scheme for parasitic capacitance using low-κ material for outer and inner spacers has drawbacks such as poor robust characteristics of materials and profile control of inner spacers, resulting in deterioration of driving performance of advanced gate-all-around (GAA) nanosheet field effect transistors (NSFETs). To overcome the problem of high parasitic capacitance in GAA NS devices, while reconciling the requirements for high-quality inner spacers and good driving performance, we propose a hybrid dual-κ spacer strategy, using low-κ material for outer spacers and more robust Si3N4 material for inner spacers. The proposed hybrid dual-κ spacer scheme not only solves the poor profile uniformity problem of inner spacers by using more Si3N4 at the inner spacer position but also optimizes the parasitic capacitance of the device by 14.51% (NMOS) and 11.70% (PMOS) than single SiNx spacers, while maintaining its driving characteristics (10.00% (NMOS) and 17.01% (PMOS) better than single low-κ spacers) simultaneously. Circuit performances are thereby improved by 108.41% for 17-stage ring oscillators output frequency and 20.14% for write time in an SRAM unit. Therefore, the proposed scheme is qualified to provide an ideal solution for high-quality production of GAA devices and high-performance circuit applications.
Farouq Abbas et al 2025 ECS J. Solid State Sci. Technol. 14 037003
Optical glass polishing is a complex process influenced by both chemical reactions and mechanical interactions. One common challenge is the aggregation of ceria abrasives during the polishing process. Previous studies have frequently mentioned particle aggregation as one of the key factors influencing polishing results. Despite its importance, almost no study investigated this phenomenon exclusively by preparing slurries with different aggregation levels and uniquely incorporating a power sensor to explore the real-time behavior of each slurry depending on its clustering state. For that aim, three slurries with different levels of aggregation, low, medium, and high, were prepared. These slurries were then used to polish two types of glass: fused silica and N-BK7. Surface roughness and material removal rates were measured to understand the impact of aggregation on the polishing process. To complement these measurements, a power sensor was integrated into the polishing machine to monitor electrical power consumption. This allows data on energy usage during polishing to be gathered and the relationships between slurry aggregation level, material removal rate, and power consumption to be explored.
Nilabha Mukherjea et al 2025 ECS J. Solid State Sci. Technol. 14 034005
Black phosphorus nanomaterials (BPN), including 2D phosphorene, 1D nanoribbons, and 0D BP quantum dots (BPQDs) exhibit superior electronic performance, remarkable biocompatibility, and tunable bandgap energy. They present immense potential in a variety of fields involving electronics, energy storage, biosensing, and biomedical applications. Despite these favorable characteristics, there are some significant obstacles impeding the widespread adoption of BPN, which includes their degradation in moisture, light, and oxygen-rich environments, difficulties in achieving scalable, high-quality BPN production and instability in electrocatalysis further limit their practical applications. Future work will revolve around optimizing BPN synthesis, thoroughly examining the degradation mechanisms, maintaining BPN stability, and comprehending the biosafety of BP analogues and polymers. In particular, the review suggests exploring BP-metal/metal oxide nanostructure composites, as well as conducting additional research on BPQD-based nanocomposites for biological imaging and drug delivery. Development of efficient anti-degradation strategies, advancement of synthesis techniques, and a deeper understanding of the characteristics and potential applications of BPN will unlock their immense potential across various fields.
Priyanka Mahajan et al 2025 ECS J. Solid State Sci. Technol. 14 031003
Traditional remediation strategies for soil contamination are inadequate due to various technical and economic gaps. Interest in nanomaterials (NMs), particularly two-dimensional (2D) NMs, is growing as these materials have become the essential components of different technologies, including energy storage, biosensors, and environmental remediation owing to large surface area, high surface functionalities, and outstanding electrical and thermal properties. The flourishing field of nanotechnology and NMs has attracted the attention of environmentalists and technologists for their integration into the field of soil remediation, as water remediation has already been studied to a larger extent. Therefore, this review has been designed to explore and analyse the role of graphene, its derivatives and their nanocomposites, one of the most emerging 2D materials in the field of environmental remediation, in mitigating soil contamination. The underlying mechanisms, namely, adsorption and catalytic degradation of the emerging soil pollutants, have been explored along with discussing the studies linked with the real-world implication of this technology. Further, the environmental and health impacts of graphene has also been highlighted, which is accumulated in the soil after reaction. Too optimise the soil remediation process by graphene and its derivatives, the challenges involved have also been discussed along with suggestive future strategies.
Shilpa Gupta 2025 ECS J. Solid State Sci. Technol. 14 011003
Over the past few years, nano-technology innovations have made inroads into a wide array of industries, such as electronics, healthcare, and energy, helped by rapid developments in this field. A key part of enabling these innovations is the production of robust interconnects that can enable the integration and communication of nano-scale computing and technology. This paper provides a comprehensive study on nano-interconnect and nano-computing, considering its importance in nano-scale applications, which is critical to the performance, energy, and scalability of nano-sized devices. The research also identifies key concerns which must be overcome to accommodate their use in several technologies, like striving to conserve electricity integrity at the nano-scale, controlling thermal effects, providing mechanical stability, and reducing fabricational complexities. The balance between reliability and application-specific behavior demonstrates the need for interdisciplinary solutions to address the bottlenecks preventing the development of the next era of high-performance, robust, and efficient nano-systems. This review attempts to highlight the necessity of reliable interconnects and nano-computing systems among researchers and to attract further work on the vast obstacles that comes in their path of development.
M. Balasubrahmanyam et al 2025 ECS J. Solid State Sci. Technol. 14 013005
Transistor technology plays a crucial role in human life. The aim is to increase the number of applications and enhance speed in a single integrated circuit (IC). Here, we review the nanosheet field-effect transistor and its various effects, including the impact of device dimensions and temperature, the effect of surface orientation, and reliability concerns. We also review the device structure, process flow, fabrication challenges, current issues, and compare NSFETs with FinFETs and nanowire field-effect transistors.
Supriya Subramani 2025 ECS J. Solid State Sci. Technol. 14 013002
Bismuth titanate (Bi2Ti2O7) materials are a novel class of crystalline, electroceramic materials exhibiting symbiotic electrical properties belonging to the pyrochlore type, a realizable, eco-friendly, industrial-scale hybrid material. In-depth research on these materials has gradually increased in recent years to increase electronic applications. This review focuses on the synthesis, phase transition, and dielectric behavior of high-quality bismuth titanate pyrochlore coordinated with several elements through a doping strategy. The unique advances in bismuth titanate pyrochlores and explanations of crystal structure mechanisms were summarized. The anomalous dielectric properties of bismuth titanate pyrochlores and their state-of-the-art progress with significant advances are highlighted. This review aims to identify recent bismuth titanate pyrochlore based on the way of their fabrication. It briefly outlines synthetic methods, dielectric properties, and potential applications of these materials and details upcoming challenges and strategies for the development of these materials. Also, this review elaborates an outline of the bismuth titanate pyrochlore materials as future candidates, which may draw significant attention to practical applications.
figure placeholder
Highlights
An in-depth review on the dielectric response of Bi2Ti2O7 materials was performed
Properties of bismuth titanate coordinated with several elements were detailed
The fundamental processes of bismuth titanate pyrochlore materials were analyzed
Crystal structure mechanisms of BTO were discussed
This review elaborates importance and understanding of BTO for future developments
V. I. Nikolaev et al 2023 ECS J. Solid State Sci. Technol. 12 115001
The properties of orthorhombic κ-Ga2O3 films grown by Epitaxial Lateral Overgrowth (ELOG) were studied by Scanning Transmission Electron Microscopy (STEM), X-ray diffraction, capacitance-voltage profiling, Microcathodoluminescence (MCL) spectroscopy and imaging. ELOG mask was formed by deposition of SiO2 stripes on TiO2 buffer prepared on basal plane sapphire, with the stripes going along the [110] direction of sapphire. κ-Ga2O3 ELOG growth was performed using Halide Vapor Phase Epitaxy (HVPE), with ELOG wing of the structure formed by lateral overgrowth over the 20 μm-wide SiO2 stripes, while growth in between the stripes proceeded initially by vertical growth in the 5-μm-wide windows. TEM analysis showed that the material in the windows comprised 120o rotational nanodomains typical of κ-Ga2O3, while, in the wing regions, the material was single-domain monocrystalline. The films were conducting, with the net donor density close to 1013 cm−3. The data suggested the material in the windows have much higher resistance than in the wings. MCL spectra and imaging revealed much higher density of nonradiative recombination centers in the windows than in the wings.
Younghyun You et al 2023 ECS J. Solid State Sci. Technol. 12 075009
WS2 is an emerging semiconductor with potential applications in next-generation device architecture owing to its excellent electrical and physical properties. However, the presence of inevitable surface contaminants and oxide layers limits the performance of WS2-based field-effect transistors (FETs); therefore, novel methods are required to restore the pristine WS2 surface. In this study, the thickness of a WS2 layer was adjusted and its surface was restored to a pristine state by fabricating a recessed-channel structure through a combination of self-limiting remote plasma oxidation and KOH solution etching processes. The reaction between the KOH solution and WOX enabled layer-by-layer thickness control as the topmost oxide layer was selectively removed during the wet-etching process. The thickness of the WS2 layer decreased linearly with the number of recess cycles, and the vertical etch rate was estimated to be approximately 0.65 nm cycle−1. Micro-Raman spectroscopy and high-resolution transmission electron microscopy revealed that the layer-by-layer etching process had a nominal effect on the crystallinity of the underlying WS2 channel. Finally, the pristine state was recovered by removing ambient molecules and oxide layers from the surface of the WS2 channel, which resulted in a high-performance FET with a current on/off ratio greater than 106. This method, which provides a facile approach to restoring the pristine surfaces of transition-metal dichalcogenide (TMDC) semiconductors with precise thickness control, has potential applications in various fields such as TMDC-based (opto)electronic and sensor devices.
M. S. Shur et al 2023 ECS J. Solid State Sci. Technol. 12 035008
Novel metal oxide materials such as InGaZnO (IGZO), ZnO, SnO, and In2O3 and improved fabrication processes dramatically enhanced the achieved and projected thin film transistor (TFT) performance. The record values of the effective field-effect mobility of Metal Oxide TFT (MOTFT) materials have approached 150 cm2/Vs. We report on an improved compact TFT model based on three models: the RPI TFT model, the unified charge control model (UCCM), and the multi-segment TFT compact model. This improved model accounts for a non-exponential slope in the subthreshold regime by introducing a varying subthreshold slope and accounts for non-trivial capacitance dependence on the gate bias, and parasitic impedances. The analysis of the TFT response using this model and the analytical calculations showed that TFTs could have a significant response to impinging THz and sub-THz radiation. Using a complementary inverter and the phase-matched THz signal feeding significantly improves the detection sensitivity.
Amanda Portoff et al 2020 ECS J. Solid State Sci. Technol. 9 125006
The ion implantation of H+ and D+ into Ga2O3 produces several O–H and O–D centers that have been investigated by vibrational spectroscopy. These defects include the dominant VGa(1)-2H and VGa(1)-2D centers studied previously along with additional defects that can be converted into this structure by thermal annealing. The polarization dependence of the spectra has also been analyzed to determine the directions of the transition moments of the defects and to provide information about defect structure. Our experimental results show that the implantation of H+ (or D+) into Ga2O3 produces two classes of defects with different polarization properties. Theory finds that these O–H (or O–D) centers are based on two shifted configurations of a Ga(1) vacancy that trap H (or D) atom(s). The interaction of VGa(1)-nD centers with other defects in the implanted samples has also been investigated to help explain the number of O–D lines seen and their reactions upon annealing. Hydrogenated divacancy VGa(1)-VO centers have been considered as an example.
G. Kissinger et al 2020 ECS J. Solid State Sci. Technol. 9 064002
This paper describes a theoretical investigation of the phase composition of oxide precipitates and the corresponding emission of self-interstitials at the minimum of the free energy and their evolution with increasing number of oxygen atoms in the precipitates. The results can explain the compositional evolution of oxide precipitates and the role of self-interstitials therein. The formation of suboxides at the edges of SiO2 precipitates after reaching a critical size can explain several phenomena like gettering of Cu by segregation to the suboxide region and lifetime reduction by recombination of minority carriers in the suboxide. It provides an alternative explanation, based on minimized free energy, to the theory of strained and unstrained plates. A second emphasis was payed to the evolution of the morphology of oxide precipitates. Based on the comparison with results from scanning transmission electron microscopy the sequence of morphology evolution of oxide precipitates was deduced. It turned out that it is opposite to the sequence assumed until now.
TERAYAMA et al
It has been difficult to detach smaller abrasive particles from the polished surfaces. In the cleaning phenomenon, the shear force acts on the residual nano-particles on the substrate in the shear flow. In order to understand the cleaning mechanism, it is indispensable to investigate the detachment characteristics that correspond to nano-particle diameter by verifying not only the force but also the energy interaction such as bonding. Here, we verify that the energy required to detach the silica nano-particles from the oxide film surface was determined by measuring the lateral force acting on the nano-particle in dry/wet conditions using lateral force microscopy. Moreover, the detachable diameter of the nano-particle was experimentally investigated using an evanescent light to estimate the required shear flow velocity near the surface, which inevitably occurred in the cleaning process. These results implied that larger-sized nano-particles could be detached when the shear force acting on the nano-particle is sufficient compared with the shear force/energy required to detach from the surface related to the potential energy of hydrogen bond.
Zhang et al
n this study, 2-Phosphonobutane -1,2,4-Tricarboxylic acid (PBTCA) was found and utilized as a superior complexing agent in a Cu chemical mechanical polishing (CMP) slurry, which significantly accelerated the dissolution of Cu and increased the removal rate of Cu. The reaction mechanism of PBTCA lies in its ability to react with Cu2+, forming a Cu(II)-PBTCA complex. This process occurs because O atoms on the carboxyl and phosphonic groups form chemical bonds with Cu2+. Molecular dynamics results showed that the complexation ability of the phosphate groups is more potent than that of the carboxylate group. The removal rate of Cu reached 280.6 Å/min was obtained in an optimized slurry containing 4 wt% SiO2, 0.6 wt% H2O2, 0.3 wt% PBTCA, and 0.03 wt% 5-Methyl-1H-benzotriazole, while the Sq is 0.82 nm and Ra is 0.106 nm. It meets the needs of the CMP of the low-size Cu interconnection. PBTCA, as a highly efficient and environmentally friendly low-cost complexant, has great application potential in chip manufacturing, especially at a low-technology node.
Wu et al
In this work, a high-performance non-dispersive infrared (NDIR) gas sensor adopting a gas chamber with paraboloid reflective structures and a novel thermopile detector integrated with nanoforests (NFs) is proposed. The paraboloid structures enable an optical path of 58 mm and allow 9.72% of optical rays from the light source to reach the detector. Meanwhile, the NFs in the infrared detector help to increase optical absorption of the detector, resulting in a 66% increase in sensor response and a more than doubled sensitivity. Primary results show that the prepared NDIR gas sensor exhibits a responsive range to CO2 concentrations spanning from 0 to 5000 ppm, with a detection limit of 67 ppm, high repeatability, and a short response time of 22 s. This sensor is expected to have applications in fields such as indoor air quality monitoring and greenhouse detection.
Yuan et al
A Love wave sensor with a dual waveguide layer structure was designed and simulated. Using ST-90ºX quartz as the piezoelectric substrate, the study investigated the deposition of xZnO-ySiO2 guiding layers of different thicknesses on the substrate. The analysis of phase velocity and admittance correlations indicates that the optimal thickness ranges for the SiO2 and ZnO guiding layers are 0.05~0.1λ and 0.005~0.015λ, respectively. When a 0.3µmZnO-1µmSiO2 dual waveguide layer is deposited on top of the surface acoustic wave (SAW) devices, operating frequency close to 237.898 MHz, and the device quality factor (Q) is 3999.4. In addition, based on a single-period frequency domain analysis, 3D full models of the sensors (delay line structure) are constructed. Time-domain calculations are used to detail the displacement variation of the Love wave sensor based on a 0.3µmZnO-1.0µmSiO2/ST-90ºX quartz structure and the shear horizontal (SH) wave sensor based on ST-90ºX quartz. Finally, the changes receiver voltage and insertion loss demonstrate that the ZnO-SiO2 waveguide layer has a positive effect on reducing the device loss.
El naggar et al
The structure modification of polyvinyl alcohol (PVA) polymer upon blending with polyethylene glycol (PEG) and/or doping with lithium bis(trifluoromethane) sulfonimide (LiTFSI) salt was examined. The minimum direct optical energy gap values of 4.28 and 4.35 eV were achieved in 0.7 PVA-0.3 PEG blended polymers and 0.9PVA-0.1 PEG/LiTFSI blended polymers. The highest refractive index (n) values were achieved in 0.7PVA-0.3PEG (2.206) and 0.9PVA-0.1PEG/LiTFSI (2.194) blended polymer. The highest nonlinear optical parameter values were recorded in 0.7PVA-0.3PEG and 0.9PVA-0.1PEG/LiTFSI blended polymers, respectively. All blended polymers demonstrated blue-violet color intensity contingent upon the quantity of PEG in each blend. The maximum dielectric constant values were obtained in the 0.9PVA-0.1 PEG blended polymer. 0.9PVA-0.1PEG/LiTFSI blended polymer possesses the highest energy density value. The greatest AC-conductivity values were achieved in the blends with x=0.1. The alloying of PVA with PEG and/or the addition of LiTFSI salt affected the ionic conductivity.
Jiangliang Zhang et al 2025 ECS J. Solid State Sci. Technol.
n this study, 2-Phosphonobutane -1,2,4-Tricarboxylic acid (PBTCA) was found and utilized as a superior complexing agent in a Cu chemical mechanical polishing (CMP) slurry, which significantly accelerated the dissolution of Cu and increased the removal rate of Cu. The reaction mechanism of PBTCA lies in its ability to react with Cu2+, forming a Cu(II)-PBTCA complex. This process occurs because O atoms on the carboxyl and phosphonic groups form chemical bonds with Cu2+. Molecular dynamics results showed that the complexation ability of the phosphate groups is more potent than that of the carboxylate group. The removal rate of Cu reached 280.6 Å/min was obtained in an optimized slurry containing 4 wt% SiO2, 0.6 wt% H2O2, 0.3 wt% PBTCA, and 0.03 wt% 5-Methyl-1H-benzotriazole, while the Sq is 0.82 nm and Ra is 0.106 nm. It meets the needs of the CMP of the low-size Cu interconnection. PBTCA, as a highly efficient and environmentally friendly low-cost complexant, has great application potential in chip manufacturing, especially at a low-technology node.
Akihiro Shimizu et al 2025 ECS J. Solid State Sci. Technol.
This study introduce a novel surface modification process involving vacuum ultraviolet (VUV) irradiation followed by oxygen plasma treatment to enhance the adhesion between a cycloolefin polymer (COP) film, known for its excellent dielectric properties, and a copper plating layer with a direct sputtered copper seed layer, intended for use in antenna circuits for 6G communications. Various surface analyses revealed that oxygen plasma treatment after VUV irradiation increased the quantity of functional groups in the extreme surface layer of the COP film more than oxygen plasma treatment alone and removed the brittle modified layer formed by VUV irradiation. This dual effect resulted in a peel strength of 1 kN/m, exceeding the 0.8 kN/m achieved with oxygen plasma treatment alone, confirming the synergistic effect of VUV irradiation and oxygen plasma treatment. Based on the surface analysis results, a process model was constructed explaining how oxygen plasma treatment etched away part of the modified layer containing the functional groups formed by VUV irradiation, while simultaneously introduced additional functional groups to the newly exposed surface layer.
Jia-Hao Li et al 2025 ECS J. Solid State Sci. Technol.
Annular thermoelectric generators (ATEGs) have attracted attention in the waste heat recovery field due to their shape similarity to automotive exhaust pipes and industrial heat pipes. To further improve the performance of ATEGs, we propose an ATEG with staggered V-shaped fin rings (V-ATEG). An adjustable 3D physical model with modifiable pipe length and thermoelectric module dimensions is established to accommodate various pipeline configurations. Energy conservation equations with mutually coupled electric and thermal fields are proposed, and in finite element simulations, the Reynolds number is introduced to characterize the temperature field with specific temperature gradients and the velocity fields in both transverse and longitudinal axes. Experimental results demonstrate a 1.89 W net output power from the V-ATEG structure is obtained with 0.52 fin aspect ratio, which is carried out under the temperature difference of 230 K while the hot gas velocity reach up to 5 m/s. Compared to traditional structure, the obtained power has increased by nearly 152%. The proposed configuration achieves dual-axis flow velocities up to 19.6 m/s, a 255% increase compared to baseline ATEG systems (5.51 m/s). This work presents a practical framework for optimizing finned ATEG architectures and enhancing high-temperature fluid energy recovery efficiency.
Fahmida Azmi et al 2025 ECS J. Solid State Sci. Technol.
Optical and mechanical properties of europium (Eu)-doped silicon nitride (SixNy) films were investigated as a function of the sputtering power applied to the Eu metal target and argon flow into the deposition chamber. Films were fabricated by an electron cyclotron resonance plasma-enhanced chemical vapor deposition (ECR-PECVD) system combined with magnetron sputtering for in-situ rare-earth doping. Results show that Eu-doped SixNy films fabricated with higher sputtering power exhibit intense red emission when annealed above 1000°C, making the luminescence visible under daylight conditions. Variable-angle spectroscopic ellipsometry analysis shows that the refractive index and film thickness are strongly dependent on the sputtering power; however, argon (Ar) flow has minimal influence. High-resolution X-ray diffraction reveals that the crystalline phases in the films play a crucial role in efficient Eu emission. Additionally, the films show increased hardness, up to 18 GPa, and an elastic modulus of 160 GPa, ensuring their durability and performance as cladding layers in photonic devices. These mechanical properties are essential for maintaining structural integrity and preventing defects, which are critical for the reliability of optoelectronic devices. The combination of strong emissions and good mechanical properties make Eu-doped SixNy films suitable for optoelectronic and solar cell applications, where both efficient light emission and material stability are essential.
Nilabha Mukherjea et al 2025 ECS J. Solid State Sci. Technol. 14 034005
Black phosphorus nanomaterials (BPN), including 2D phosphorene, 1D nanoribbons, and 0D BP quantum dots (BPQDs) exhibit superior electronic performance, remarkable biocompatibility, and tunable bandgap energy. They present immense potential in a variety of fields involving electronics, energy storage, biosensing, and biomedical applications. Despite these favorable characteristics, there are some significant obstacles impeding the widespread adoption of BPN, which includes their degradation in moisture, light, and oxygen-rich environments, difficulties in achieving scalable, high-quality BPN production and instability in electrocatalysis further limit their practical applications. Future work will revolve around optimizing BPN synthesis, thoroughly examining the degradation mechanisms, maintaining BPN stability, and comprehending the biosafety of BP analogues and polymers. In particular, the review suggests exploring BP-metal/metal oxide nanostructure composites, as well as conducting additional research on BPQD-based nanocomposites for biological imaging and drug delivery. Development of efficient anti-degradation strategies, advancement of synthesis techniques, and a deeper understanding of the characteristics and potential applications of BPN will unlock their immense potential across various fields.
Jean Michel NYANGONO KOUMA et al 2025 ECS J. Solid State Sci. Technol.
Carbon nanotube field-effect transistors (CNTFETs) are promising candidates for future nanoscale devices owing to their exceptional properties. We optimized the performance of a ballistic CNTFET by determining the optimum configuration of the main geometrical and material parameters for maximizing the current ratio (Ion/Ioff).. In a joint study of ballistic transport modeling with the FETToy tool and a central composite design (CCD), we varied the diameter of carbon nanotube (d), oxide thickness (tox), and dielectric material (k). The results show that the dielectric material has a significant influence on the current ratio (Ion/Ioff). Furthermore, the optimum combination of 3 nm carbon nanotube diameter, 1 nm oxide thickness, and ZrO2(25) dielectric material offers a significant gain in the Ion/Ioff performance. The analysis of variance (ANOVA) underscores these findings, highlighting the dielectric material in diameter and oxide thickness. Specifically, the ANOVA highlighted interaction effects between the CNT diameter and the dielectric material. This multi-parametric approach provides a more rigorous modeling framework for these transistors, unlike previous studies that optimized parameters independently. In addition, it provides a better understanding of the interactions between key parameters (CNT diameter, oxide thickness, and dielectric material) governing performance.
Md Hafijur Rahman et al 2025 ECS J. Solid State Sci. Technol. 14 025003
Gamma radiation is detrimental to semiconductor-based sensors or instrumentation. The ensuing damage can be very difficult to repair with conventional annealing approaches, particularly in as-deployed conditions. This study proposes application of the non-thermal electron wind force (EWF) to restore device performance and thus improve resilience to radiation exposure. The technique is demonstrated on Zener diodes exposed to Co-60 gamma radiation doses up to 2.65 Mrad (Si), which resulted in significant degradation of forward and reverse bias currents due to the formation of radiation-induced defects. EWF annealing, conducted at near-ambient temperatures for just one minute, not only completely restored the forward current affected by 2.65 Mrad (Si) of gamma radiation but also enhanced it beyond the pristine condition. In terms of reverse bias, the treatment achieved a recovery of 74.5%. Raman spectroscopy revealed increased full width at half maximum values of the characteristic peak of phonon mode of crystalline Si following the EWF annealing, indicating healing of lattice disorder and defects. Thermal annealing at 100 °C for 90 min showed no recovery or even more degradation, probably due to additional thermal stress. Because EWF annealing requires only electrical connections, it can be implemented “in-operando,” extending lifetime of semiconductor devices in radiation environments.
Yongzhi Liu et al 2025 ECS J. Solid State Sci. Technol. 14 023004
A Fabry–Perot resonance-based absorptive structure was investigated. The theoretically calculation results indicate that the design of Fabry–Perot resonant cavity based on capacitive impedance shows a broadband absorption characteristic. By using a random distribution of carbon fibers, a broadband absorption structure has been successfully achieved in a simple-structured composite. The effective absorption bandwidth reaches 8 GHz, with good RCS reduction effect.
Fook Chiong Cheong et al 2025 ECS J. Solid State Sci. Technol. 14 024003
Chemical mechanical planarization (CMP) is a critical element in semiconductor manufacturing used to achieve the required nanometer-scale wafer surface planarity. Contaminants in CMP polishing slurries can cause defects and reduce wafer yield. Two contaminant sources are polishing pad debris and agglomerates of nanoparticles from polishing slurries. Both of these cause defects and can be difficult to distinguish. Reliable methods to quantitatively measure and identify contaminants in slurries during CMP processing is an ongoing challenge. This work introduces Total Holographic Characterization® (THC) to detect and identify slurry contaminants in CMP slurries. Most traditional optical techniques currently in use have difficulty detecting particles in optically dense CMP slurries and often resort to extensive dilution. Previous work has shown that THC is effective in detecting and characterizing nanoparticle agglomerates and other contaminants in CMP slurries without the need for dilution. In this study, THC detects and distinguishes pad debris from other contaminants, including native nanoparticle agglomerates, in silica CMP slurries. Agglomerates of nanoparticles have a unique THC signature that can be distinguished from the signal generated by pad debris particles. Identifying the composition of contaminant particles is instrumental to identifying their source and eliminating them to improved yields and decrease manufacturing costs.
Sekhar Reddy Kola and Yiming Li 2025 ECS J. Solid State Sci. Technol. 14 025001
The performance of vertically stacked gate-all-around silicon nanosheet (GAA Si NS) field-effect transistors (FETs) is significantly impacted by the non-ideal characteristics of the bottom channel, primarily due to etching process limitations. These issues lead to variations in the coverage ratio of the bottom channel, which impacts key device characteristics like leakage current and static power consumption. In this study, we used experimentally calibrated 3D device simulations to analyze the effects of varying bottom channel coverage ratios from 60% to 100% on the GAA Si NS n-/p-type FETs for sub-2-nm technology nodes. Our results reveal an inverse relationship between the coverage ratio and leakage current and static power consumption. Notably, n-/p-type devices at 60% bottom channel coverage ratio exhibiting leakage currents 75.6 and 102.7 times higher than those with 100% bottom channel coverage ratio. This increase is linked to substantial variations in the off-state conduction (18.5%, 75 meV for n-type FETs) and valance (15.3%, 57 meV for p-type FETs) band energies. An 80% bottom channel coverage ratio proves to be an effective compromise, reducing parasitic leakage while addressing manufacturing feasibility. However, achieving a 100% bottom channel coverage ratio remains a critical challenge, highlighting the need for further research on fabrication optimization.