The following article is Open access

Review—Silicon Nitride and Silicon Nitride-Rich Thin Film Technologies: State-of-the-Art Processing Technologies, Properties, and Applications

, , and

Published 7 August 2020 © 2020 The Author(s). Published on behalf of The Electrochemical Society by IOP Publishing Limited
, , Citation Alain E. Kaloyeros et al 2020 ECS J. Solid State Sci. Technol. 9 063006 DOI 10.1149/2162-8777/aba447

2162-8777/9/6/063006

Abstract

Accelerating interest in silicon nitride thin film material system continues in both academic and industrial communities due to its highly desirable physical, chemical, and electrical properties and the potential to enable new device technologies. As considered here, the silicon nitride material system encompasses both non-hydrogenated (SiNx) and hydrogenated (SiNx:H) silicon nitride, as well as silicon nitride-rich films, defined as SiNx with C inclusion, in both non-hydrogenated (SiNx(C)) and hydrogenated (SiNx:H(C)) forms. Due to the extremely high level of interest in these materials, this article is intended as a follow-up to the authors' earlier publication [A. E. Kaloyeros, F. A. Jové, J. Goff, B. Arkles, Silicon nitride and silicon nitride-rich thin film technologies: trends in deposition techniques and related applications, ECS J. Solid State Sci. Technol., 6, 691 (2017)] that summarized silicon nitride research and development (R&D) trends through the end of 2016. In this survey, emphasis is placed on cutting-edge achievements and innovations from 2017 through 2019 in Si and N source chemistries, vapor phase growth processes, film properties, and emerging applications, particularly in heterodevice areas including sensors, biointerfaces and photonics.

Export citation and abstract BibTeX RIS

This is an open access article distributed under the terms of the Creative Commons Attribution Non-Commercial No Derivatives 4.0 License (CC BY-NC-ND, http://creativecommons.org/licenses/by-nc-nd/4.0/), which permits non-commercial reuse, distribution, and reproduction in any medium, provided the original work is not changed in any way and is properly cited. For permission for commercial reuse, please email: permissions@ioppublishing.org.

The silicon nitride thin film material system, primarily in the form SiNx, where 0 < x < 1.33, remains the subject of intense research, development and manufacturing interest across multiple technological fields.1 This intensity is the result of the system's highly appealing physical, chemical, structural, optical and electrical properties. Because of these properties, its long-established track record of usage in various industrial products is projected to continue unabated into the foreseeable future, with new and diversified uses being continuously added.2,3

Among all of this system's applications, three emerging areas seem to be of particular relevance: (i) non-traditional thin film deposition technologies, as motivated by the desire for high quality SiNx formed within low thermal budget constraints; (ii) tailored surface modification of the topmost layer of SiNx films, as driven by interest in enhanced passivation and capping properties, coupling to biomaterials for specialized heterodevices,4 and eventually area-specific deposition (ASD); and (iii) photonic architectures for potential use in energy harvesting5 and light emitting devices.1,6 The reader should also note that special attention is given to atomic layer deposition (ALD) techniques that produce SiNx thin film compositions inter-leaved with other materials on an atomic scale, as well as SiNx thin film wet etch rate (WER) as a critical measure of film quality.

This article is also intended as a supplement to the earlier review article by the present authors that highlighted the latest accomplishments and improvements in silicon nitride R&D—primarily chemical vapor deposition (CVD) and ALD, as well as resulting film properties, performance, and usages—from 2010 through the end of 2016.7 In this report, we focus on advances in the silicon nitride material system made from 2017 through the end of 2019, with a particular emphasis on areas of emerging interest. Except in cases where reports from prior years are needed to provide necessary context, we have deliberately avoided recapitulating subject matter from our earlier review. Our survey emphasizes reports in the open literature as they relate to current technological advances in Si and N source chemistries, vapor phase growth processes, film properties especially, or as they present emerging applications in a variety of industries. We note also that in the interim a number of reviews of silicon nitride with different perspectives, including ALD,2 photonic,6 and silicon-based dielectric deposition8 have been published. Vasiliyev has also published a series of review articles that more broadly examines silicon nitride technology.914 While oxygen incorporation into silicon nitride is part of this review, films where the objective is formation of pure silicon oxynitride are not included and are the subject of a separate review.15

As discussed here, the Si–N thin film material system includes non-hydrogenated (SiNx) and hydrogenated (SiNx:H) silicon nitride, as well as silicon nitride-rich films, defined as SiNx with C inclusion, in both non-hydrogenated (SiNx(C)) and hydrogenated (SiNx:H(C)) forms. Please note that the properties of the silicon nitride thin film material system are highly dependent on its vapor phase growth technique and resulting film morphology, texture, and chemical composition, which are not precisely defined in many cases in the literature. For instance, some publications omit any mention of the concentration and bonding configuration of hydrogen in what would be accurately described as amorphous hydrogenated silicon nitride (a-SiNx:H). This is confusing, since both the type of hydrogen bond (Si–H vs N–H) and the levels of hydrogen in the films do significantly affect film structural, electrical, and mechanical properties.16 The difference in Pauling electronegativity values between Si–N, N–H, and Si–H also plays an important role in determining overall film characteristics and performance, with the former two exhibiting somewhat high dipole moments while the latter displays a moderately low one.

These compositional and textural omissions have resulted in apparent discrepancies in the characteristics of the SiNx thin films as reported in different publications (see Table I), even though the growth technique and associated processing parameters employed, as well as the ratio of Si to N in the resulting SiNx phase, are identical. For this reason, our review will again concentrate on the chemical configuration and related properties of the Si and N source precursors and key variations in the vapor phase growth processes, as well as their combined effects on film structural, electrical, and optical performance and projected applications in various industries of interest.

Table I.  Properties of CVD and ALD SiNx (with properties of bulk c-Si3N4 listed as baseline)a). (Data in bold is extracted from Ref. 7, with the source being a specific value from a single report, or a range or consensus of values from multiple reports; while cited data not in bold are from reports after 2015).b).

    SiNx (H content <5%) SiNx:H (H content >5%)
  Dense bulk (crystalline/polycrystalline) c-Si3N4/pc- Si3N4 ALD CVD PE-CVD PE-ALD CVD PE-CVD
Physical              
Structure Trigonal α-phase (Pearson symbol: hP28) or hexagonal β-phase (Pearson symbol: hP14)  
Density, g cm−3 3.10–3.20 (3.184-alpha; 3.187-beta) 2.34–2.4 regardless of deposition conditions17 2.8 2.6–3.0 Increased from 2.6 to ∼2.78 with higher substrate temperature from 270 °C–360 °C for both PCDS and HCDS18 2.9–3.0 2.4–2.9
        2.21 (using 3MS (TMS) + NH3 + He17     Varied from 2.0 to 2.7 depending on H bonding to Si or N19
        2.4 (using SiH4 + NH3 + N2)17     Increased from ∼2.2 for Si-rich films, with Si/N ∼ 1.1, to ∼2.4 for N-rich films, Si/N ∼ 0.520
        2.17, 2.15, 2.25, 2.31, 2.44 for x(N/Si) = 0.02, 0.38, 0.59, 0.79, 0.78, 0.91, respectively21     Increased from 2.05 for no H2 co-reactant to 2.54 for 4500 sccm H2 co-reactant flow rate22
              Increased from 2.21 to 2.24 for R (NH3/SiH4) flow rising from 2 to 3 then decreased from 2.12 to 1.89 for higher R from 5 to 923
              Increased from 2.7 to 2.85 for N2/NH3 plasma flow ratio ranging from 30/90 to 90/30; increased from ∼2.7 to 2.83 for Ar/NH3 plasma flow ratio ranging from 30/90 to 90/3024
Chemical              
Wet etch rate (WER) (≤1% HF in DI water)     For stoichiometric SiN, rates were 3.4, 3.0, and 2.3 nm min−1 for RF plasma powers of at 300, 500, and 1000 W, respectively.25 In 1% HF, rate decreased from ∼32 to ∼3 nm min−1 as R (SiH4/NH3 flow ratio) increased from 0.65 to 2.61. In buffered HF (38 °C), WER decreased from ∼440 to ∼80 nm min−1 as R (SiH4/NH3 flow ratio) increased from 0.65 to 2.6126     HF:water (1:500) WER was a function of H concentration and bonding configuration in the films, decreasing from 6 nm min−1 for 30/90 N2/NH3 (or Ar/NH3) to ∼3 nm min−1 for 90/30 N2/NH3 (or Ar/NH3)24
      The WER decreased from 1.3 to 0.3 nm min−1 at the lower sidewall of trench structures with 5:1 aspect ratio as the process was changed from 2-steps to 3-steps27        
      The WER for near stoichiometric Si3N4 films decreased from 5.7 nm min−1 to 3.5 nm min−1 as substrate temperature increased from 250 to 500 °C. The rate decreased from 4.3 nm min−1 to 3.2 nm min−1 with increased H2 plasma exposure28        
Mechanical              
Hardness 15–30 GPa   27–28 GPa       Increased from 11–13 GPa for no H2 co-reactant to ∼20 GPa for 4500 sccm H2 co-reactant flow rate22
              10.2–13.629
Modulus of Elasticity, GPa (Young's modulus) 320   220–360b) 160   256 65–250b)
        18130     Increased from ∼100–110 for no H2 co-reactant to 124 for 4500 sccm H2 co-reactant flow rate22
              108–15329
Tensile Strength 360–434 MPa     2.4 GPa     Decreased from 81.9 MPa to 16.5 MPa for film thickness increasing from 50 nm to 400 nm23
        315.5 MPa for 689 nm-thick PECVD SiNx @ 600 W plasma31      
Flexural Strength 400–950 MPa            
Compressive Stress 689–2760 MPa     1734 MPa for 569 nm-thick PECVD SiNx @ 1700W plasma31     For as-deposited films, increased from ∼450 MPa (compressive) for no H2 co-reactant to 1316 MPa (compressive) for 4500 sccm H2 co-reactant flow rate22
              After 5 min UV, cure, changed from ∼500 MPa (tensile) for no H2 co-reactant to 500 MPa (compressive) for 4500 sccm H2 co-reactant flow rate22
Sheer modulus 102–128 GPa            
Fracture Toughness, MPa-m−2 5.8–8.5           Decreased from 3.50 to 1.75 when intrinsic film stress increased from ∼1200 MPa to 600 MPa for 2000 nm-thick films.
              Remained constant at ∼1.25 when intrinsic film stress increased from −600 MPa to −50 MPa for 3000 nm-thick films19
Poisson ratio 0.26   0.28 ± 0.05 0.253   0.28  
Thermal              
Melting Point 1900° C            
Thermal Expansion Coefficient, x 10−6/°C 2.9   ∼1.2 -∼1,8 @ 300 °C30     3.00  
Operating Temp-continuous, max. 1000 °C            
Operating temp-short-term, max. 1346 °C−1773 °C            
CoE, x 10−6 2.6–2.9            
Heat Capacity, 20 °C−100 °C 0.76 Jg−1°K−1   0.70 Jg−1K−1        
Thermal transition, α-Si3N4 to β-Si3N4 1400 °C−1500 °C            
Thermal Conductivity 26 W mK−1   2.0–3.5 W mK−1 0.7–1.7 W mK−1     1.9 W mK−1 100 nm-thick Si3N432
Thermal Shock Resistance, °C sec−1 550°–750°            
Electrical              
Resistivity ρ (ohm-cm) 1016–1021   1015 1012   1017 <1015
Dielectric Strength (V cm−1) 1.77 × 104 V mm−1   107 V cm−1 3.0 to4.9 × 106 V cm−1 as deposited   10.0 × 106 V cm−1 5.0 × 106 V cm−1
        2.2 to4.7 × 106 V cm−1, annealed      
Conductivity σ (Siemens/meter, S m−1)       4 × 10−12 to10−14 decreasing from 540° to 330°C      
Dielectric Constant 1 MHz: 7.0–10.5 4.25–4.7117 6.0 6.31–7.56   7.0 6.0–9.0 depending on H content
              @150 °C, it decreased from 5.3 for no H2 co-reactant to 5.1 g cm−3 for 4500 sccm H2 co-reactant flow rate22
Optical              
Refractive Index (@589.3 unless otherwise stated) 2.016 @ 589.3 nm;   2.01–2.07 1.6–2.7 Increased from ∼1.82 to ∼1.88 with higher substrate temperature from 270°C–360°C for both PCDS and HCDS18 2.01 1.8–2.7 depending on increasing H content
  2.073 @ 400 nm   2.02–2.29 as fct. of substrate temperature and reactant flow rates33 Increased from 2.15 to 2.23 to 2.31 with higher film thickness from 50 to 70 to 180 nm @632 nm5     Decreased from 2.174 (film thickness of 662 nm) to 1.902 (film thickness of 505 nm) with higher NH3 flow @1064 nm34
      Increased from ∼1.46 @ substrate T = RT-100 °C To ∼1.76 for substrate T = 300 °C in laser-assisted CVD (LS-CVD)35 ∼1.980 @ 1548 nm36     Decreased from ∼3.1 to ∼2.35 with higher R (NH3/SiH4 flow ratio) from 0.45 to 1.037
      1.8 @ 400 °C in remote plasma ALD25 Increased from 1.859 to 2.010@630 nm as substrate temp., plasma power, precursor flow were increased from 100 °C, 90 W 1.5 sccm to 200 °C, 170 W, and 5.0 sccm38     Increased from 1.88 for no H2 co-reactant to 1.98 for 4500 sccm H2 co-reactant flow rate22
      Increased from ∼1.72 to ∼1.98 with higher substrate temperature from 100 °C–600 °C28 Increased from 1.815 to 2.014@1550 nm as substrate temp., plasma power, and precursor flow were increased from 100 °C, 90 W, and 1.5 sccm to 200 °C, 170 W, and 3.0 sccm, then decreased to 1.962 as precursor flow further increased to 5.0 sccm38     Decreased from 1.842 to 1.754 for R (NH3/SiH4) flow rising from 2 to 923
        4.28, 3.31, 2.78, 2.47, and 2.24 g cm−3 for x(N/Si) = 0.02, 0.38, 0.59, 0.79, and 0.91, respectively @630 nm21     Increased from 1.8 to 1.87 for substrate temp. rising from 275 to 360 °C. Increased from 1.84 to 1.85 for N2/NH3 plasma flow ratio ranging from 0.25 to 0.8; and increased from ∼1.75 to 1.85 for Ar/NH3 plasma flow ratio ranging from 0.25 to 0.824
              1.99 (ICP plasma) vs 2.01 (RF plasma)33
              Decreased from ∼2.25 to ∼2.2 for films grown at RT for R(SiH4/NH3)flow ratio rising from 0.08 to 0.1239
              Increased from 1.859 to 2.010@630 nm as substrate temp., plasma power, and precursor flow increased from 100 °C, 90 W, and 1.5 sccm to 200 °C, 170 W, and 5.0 sccm38
              Increased from 1.815 to 2.014@1550 nm as substrate temp., plasma power, and precursor flow increased from 100 °C, 90 W, and 1.5 sccm to 200 °C, 170 W, and 3.0 sccm, then decreased to 1.962 as precursor flow further increased to 5.0 sccm38
              2.0 regardless of annealing conditions for 80 nm-thick films40
              1.8 @ 633 nm for as deposited and RTA-annealed SiN1.5441
              1.85 for SiNx for R(SiH4/NH3) = 0.25; 1.89 for SiNx for R = 0.50; 2.05 for SiNxOy/SiNx stacks42
Optical Gap     2.4–4.7        
Extinction Coefficient     <6 × 10−4       Increased from 0.0–1.0 with higher N/Si ratio43
Photoluminescence (PL)     RT PL band maxima located in red range (∼1.9 eV) for as-deposited SiN0.9 and in blue range (∼2.6 eV) for as-deposited SiN1.4. PL intensities were similar for types of samples. RTA annealing increased PL intensity in SiN0.9, but had a detrimental effect on SiN1.4.44       PL intensity in annealed SiNx films (1100 °C in N2 for 35 min) depended on R (NH3/SiH4 flow ratio), with highest intensity for R = 0.59–0.67, indicating the presence of multiple luminescence centers in the films. 4 PL bands detected with maxima at 1.7–1.8 eV, 2.0–2.2 eV, 2.4–2.5 eV and 2.9–3.0 eV37,45
Tribological  
CoF, SiN mating, low speed 0.7            
CoF, SiC mating,low speed 0.27            
Cof, SiN mating, hydrodynamic, high speed <0.002            
Permeation -Absorption              
Water vapor transmission rate (WVTR), 100 nm film g/cm2/day   2–5 × 10−2 7 × 10−3 0.015 × 10−2     ∼2 × 10−2 from hexamethyldisiazane/N246

a)All values at R.T. unless otherwise indicated. b)For more details on some of the data presented in this table, the reader is referred to Appendices B and C.

Table II.  Si precursor name, chemical formula and structure, identification number and CAS registration number (Updated and Expanded from Ref. 7).

Class Name Formula & abbreviated structure CAS#
Perhydridosilanes
  silane SiH4 7803-62-5
  deuterated silane SiD4 13537-07-0
  disilane Si2H6 1590-87-0
  trisilane Si3H8 7783-26-8
  n-tetrasilane Si4H10 7783-29-1
  isotetrasilane Si4H10 13597-87-0
  neopentasilane Si5H12 15947-57-6
Hydridohalosilanes
  monochlororosilane SiH3Cl 13465-78-6
  dichlorosilane SiH2Cl2 4109-96-0
  trichlorosilane SiHCl3 10025-78-2
  pentachlorodisilane Si2HCl5 31411-98-0
  trichlorodisilane Si2H3Cl3 78228-96-3
  monobromosilane SiH3Br 13465-73-1
  dibromosilane SiH2Br2 13768-94-0
  tribromosilane SiHBr3 7789-57-3
  monoiodosilane SiH3I 13598-42-0
  diiodosilane SiH2I2 13760-02-6
  triiodosilane SiHI3 13465-72-0
Halosilanes
  tetrachlorosilane SiCl4 10026-04-7
  hexachlorodisilane Si2Cl6 13465-77-5
  octachlorotrisilane Si3Cl8 13596-23-1
  tetrabromosilane SiBr4 7789-66-4
  tetraiodosilane SiI4 13465-84-4
Aminosilanes
  trisilylamine Si3H9N 13862-16-3
  bis(diethylamino)silane SiH22C8N2 27804-64-4
  bis(t-butylamino)silane SiH22C8N2 186598-40-3
  bis(dimethylaminomethylsilyl)trimethylsilylamine Si3C9H29N3 1639363-52-2
  di(s-butyl)aminosilane SiC8H21N 914981-36-5
  1,3-di-isopropylamino-2,4-dimethylcyclosilazane Si2C8N2H22 1801236-79-2
  tris(dimethylamino)silane SiCH19C6N3 15112-89-7
  tris(isopropylamino)silane SiH25C9N3 532427-76-2
  tetrakis(ethylamino)silane SiH24C8N4 17865-94-0
  tetrakis(dimethylamino)silane SiH24C8N4 1624-01-7
  tris(isopropyl)cyclotrisilazane Si3C9H27N3 2013542-91-9
  tetramethyldisilazane Si2C4H15N 15933-59-2
  hexamethyldisilazane Si2C6H19N 999-97-3
  diisopropylaminosilane SiC6H17N 908831-34-5
Carbosilanes
  Methylsilane SiCH6 992-94-9
  Dimethylsilane SiC2H8 1111-74-6
  Trimethylsilane SiC3H10 993-07-7
  Tetramethylsilane SiC4H12 75-76-3
  Hexamethyldisilane Si2C6H18 1450-14-2
  Triethylsilane SiC6H10 617-86-7

Table III.  Summary of recent CVD and PE-CVD SiNx work.

Deposition technique Potential applications Brief description References
N/A Solid state electronics, including passivation layer in solar cells, gate dielectric in TFTs for liquid crystal displays, standalone and embedded memory Spin transport, magneto-resistance, and electrically detected magnetic resonance in a-SiNx:H films Mutch et al.47*
PE-CVD Si nano-photonics Host matrix for Si nanocrystals (Si-NCs) for photoluminescence applications Jaramillo Gomez et al.45
PE-CVD Microelectromechanical systems (MEMS) and 3D devices Protective layer/etch stop for metal lines and polysilicon structures Han et al.26
PE-CVD Advanced on-chip photonic devices CMOS-compatible amorphous films with a bulk second-order nonlinearity through strong second-harmonic generation (SHG) Koskinen et al.34
PE-CVD Capacitive RF MEMS switches Au nanorods nanostrucutured SiNx films to improve reliability of MEMS switches with respect to dielectric charging. Koutsoureli et al.48
Catalytic CVD Interdigitated back-contact (IBC) Si solar cells Passivation and dielectric layer Ohdaira et al.49
PE-CVD Si nano-photonics Host matrix for Si nanocrystals (Si-NC) for photoluminescence applications Parkhomenko et al.50
PE-CVD Si micro- and nano-photonics Host matrix for Si nanocrystals (Si-NC)/quantum dots (QDs) for photoluminescence Torchynska et al.37,51,52
PE-CVD Integrated Circuitry (IC) devices SiCxNy:H Cap layer in Cu multi-level metallization schemes Nguyen et al.22
PE-CVD IC devices, memory devices and light emitting diodes (LEDs) in light emitting memory (LEM) devices Electrofomed a-SiNx:H matrix as host for light-emitting Si nanocrystals in a heterojunction p + in + diode Anutgan et al.53
PE-CVD On-chip quantum dot QD/SiN lasers for photonic integrated circuits (PICs) Low-loss SiNx films for SiN waveguide bus, and bottom and encapsulation layer for embedded QDs in a triple SiNx/QD/SiNx stack structure Xie et al.54
PE-CVD IC devices, solar cells, and MEMS SiNx as diffusion barrier layer, etch stop, protective cap, anti-reflection coating Xia et al.55
      Xiang et al.56
PE-CVD Si solar cells Nanostructured Si-rich a-SiNx:H (SRSN) as anti-reflection coating and passivation layer Braña et al.5
PE-CVD Non-linear integrated photonics Deuterated SiN (SiN:D) waveguides Chiles et al.36
Roll-to-roll PE-CVD Electronic devices using flexible substrates for organic solar cells, quantum dot displays, OLED displays, and computer chips. Single-layer SiNx film on polyethylene terephthalate as a moisture permeation barrier film Cho et al.23
Low-pressure CVD (LP-CVD) Visible spectrum LEDs Active light emitting Si-rich and N-rich layer Romanov et al.44
PE-CVD Si micro- and nano-photonics Host matrix for Si nanocrystals (Si-NC)/quantum dots (QDs) for photoluminescence applications Torchynska et al.57
Low-pressure CVD (LP-CVD) Visible spectrum light emitting diodes (LEDs) Active light emitting Si-rich and N-rich layer Vlasukova et al.58
LP-CVD and PE-CVD IC devices, Si solar cells, MEMS Protection/encapsulation layer, sacrificial layer, functional structures, including top encapsulation layer in IC devices; anti-reflection layer and protective layer in solar cells; active device structures in MEMS Yang et al.33
PE-CVD Si-based LEDs for Si based monolithic optoelectronic integration Tunable luminescent a-SiNxOy films Zhang et al.59
PE-CVD Predictable quantum efficient detectors (PQEDs) SiNx and SiNxOy as passivation layers for photodiodes Stokkan et al.42
PE-CVD Lithium ion batteries a-SiNx as thin film electrodes Ulvestad et al.21
Laser-assisted CVD IC devices, solar cells SiNx as diffusion barrier layer, etch stop, anti-reflection coating Kuk et al.35
LP-CVD IC devices, MEMS Passivation and stabilization layers Cossou et al.60
Mirror-plasma enhanced chemical vapor deposition (MPECVD) IC devices, Si solar cells, optical systems, MEMS Passivation and wet etch resistance layers Goto et al.39
LP-CVD Transmission electron microscopy (TEM) Membranes for microcapsules Fu et al.61
PE-CVD IC devices, Si solar cells a-SiNx:H as surface/bulk passivation and anti-reflecting coating Jafari et al.62
PE-CVD IC devices Masking, dielectric insulation, passivation, and anti-reflective coating Kissinger et al.31
LP-CVD Nonlinear frequency combs and supercontinuum generation, spectroscopy and sensing, and photonic devices for telecommunications SiNx waveguides Kou et al.38
LF-CVD Photovoltaics, data storage, optoelectronics Host matrix for Si nanocrystals (Si-NCs) Meziani et al.40
Catalytic CVD Interdigitated back-contact (IBC) Si solar cells Passivation and dielectric layer Miyaura et al.63
Multiple holes hollow cathode RF PE-CVD IC devices, Si solar cells, organic thin film transistors, OLEDs, MEMS Antireflection coatings and passivation layers in Si solar cells, insulating layers in organic thin-film transistors, OLEDs, and diffusion barriers in IC devices Sahu et al.64
PE-CVD IC devices, DRAM, flash memory Dielectric layer for DRAM and flash memory, and passivation layer and diffusion barrier in IC devices Kim et al.32
PE-CVD c-Si solar cells Anti-reflection coating and surface passivation layer Wang et al.41
PE-CVD Heat-Assisted Magnetic Recording (HAMR) High contrast waveguide Lim et al.65

Table IV.  Summary of recent thermal and PE-ALD SiNx work.

Deposition technique Potential applications Brief description References
Thermal ALD Integrated Circuitry (IC) devices Thin films as gate spacers and diffusion barriers Dangerfield et al.66
Thermal ALD IC devices Interfacial diffusion barrier and interface passivation layer for epitaxial SixGe1−x(001) and SixGe1−x(110) on Si(001) Edmonds et al.67
PE-ALD IC devices Si-rich SiNx as diffusion barrier Kim et al.17
PE-ALD IC devices C-containing SiNx (SiCx Ny) as interlayer dielectrics, diffusion barriers, sidewall spacers, etch stops, and hard masks Ovanesyan et al.68,69
Remote Plasma ALD IC devices Gate spacer, gate dielectric, stress liner, etch stop, and charge trap layer Jang et al.25
Hollow Cathode PE-ALD IC devices, optical devices, MEMS Sidewall spacers Meng et al.18
Two Step Plasma PE-ALD 3D device structures for IC applications Gate spacer of FinFET devices and charge trap layer in 3D vertical NAND flash memory Park et al.27
PE-ALD IC devices Dielectric layers in CMOS devices, gate spacers, and diffusion barriers Peña et al.70
Thermal and PE-ALD IC devices Robust low-temperature grown SiN (<400 °C) for applications as gate sidewall spacers and in self-aligned quadruple patterning Lucero et al.71
PE-ALD DRAM devices, 3D-NAND flash devices, FinFETs Spacers in DRAM, charge trap layers in 3D-NAND flash devices, gate spacers in FinFETs, stoppers in (CMP) in a self-aligned multiple patterning process when forming fins in FinFETs Kim et al.24
Remote Plasma ALD Front-end-of-line (FEOL) portion of IC device manufacturing Gate spacers in DRAM and logic devices, charge trap layer in NAND flash devices Cho et al.28
Glow discharge ALD IC devices Silicon–nitrogen nanostructures Ezhovskii et al.72
Thermal and PE-ALD DRAM devices, 3D-NAND flash devices, FinFETs Spacers in DRAM, charge trap layers in 3D-NAND devices, gate spacers in FinFETs, stoppers in (CMP) in a self-aligned multiple patterning process when forming fins in FinFETs Ovanesyan et al.73

Table V.  Summary of recent sputtered SiNx work.

Deposition technique Potential applications Brief description References
Reactive magnetron sputtering Glass industry Glazing layer, diffusion barrier, and corrosion resistance film within glass stacks Barrés et al.74
Reactive magnetron sputtering Solar cells and optical waveguides Antireflection coating in solar cells and passivation layer/mask to protect from local oxidation of Si surfaces and optical waveguides Vohánka et al.75

Table VI.  Summary of recent SiNx surface treatment techniques.

Type of surface treatment Surface treatment technique Potential applications Brief description References
Aqueous Water effect on coefficient of friction and wear rate Aerospace and automotive industries Protective coating for tribological components requiring high reliability Dante at al.76 a)
Non-aqueous (vapor phase) Surface etching and chemical modification using various HF solutions, aldehyde molecules, and trichloro-organosilanes IC devices, MEMS/NEMS SiNx as diffusion barrier layer, etch stop, and protective cap Liu et al.77
Non-aqueous (vapor phase) Degradation study by water Encapsulation of conducting polymers and chemically reactive electrodes a-SiON:H as potential protective layer against H2O and O degradation Lee et al.78
Aqueous A multi-step protocol, including exposure to high pH KOH at RT followed by various customized treatments depending on chip type Label-free optical biosensors, such as surface plasmon resonance (SPR) biosensors, for agriculture, biochemistry, medicine, health-care, food, and environment SiNx as interference film in a reflectometric interference spectroscopy (RIfS) sensor system. Two new types of SiNx biosensorchips are demonstrated: (i) SiNx chip modified with lactose (carbohydrate); and (ii) SiNx chip with an antibody (protein) Nagatsuka et al.79
Non-aqueous (vapor phase) Vapor phase functionalization of SiNx nanoporous nanomembranes (NPN) using UV light activation Biological separations, cell culture, micropumps, and sensors Ultrathin SiNx NPNs coated with stable organic molecules to enhance functionality without reducing permeability and size selectivity Li et al.80
Aqueous Chemical modification through covalent-bonding of hydrophilic, positively charged molecules on the interior nanopore surface DNA sequencing and single-molecule measurement of nanoparticles, biomolecules such as bacteria, protein, antibodies, nucleic acids Single-nanometer-scale SiNx pores the detection, identification, and characterization of analytes Yin et al.81
Non-aqueous (vapor phase) First-principle modeling of plasma etching/activation process to functionalize SiNx surface using fluorocarbon/O2 as the reactive gas 3D-NAND memory technologies SiNx as charge trap layer Tsai et al.82
Non-aqueous (vapor phase) Plasma activation process to functionalize SiNx surface using ICP-RIE with H2 as the reactive gas Biosensors for medical diagnosis, drug development, food industry, and environmental monitoring Biofunctionalized SiNx for identification of target molecules Hoi et al.83
Non-aqueous (vapor phase) Covalent grafting of molecular or polymer layer onto SiNx surface by UV light activation Glass industry, molecular electronics, biotechnology SiNx as selective adhesion promoter, corrosion resistance cap, and protective layer against biofouling Brunet et al.84
Aqueous Aqueous low and high pH solutions Orthopedics, dentistry, virology, agronomy, and environmental remediation SiNx as bioceramic coating for healing of soft and osseous tissue, inhibition of bacteria proliferation, and virus extermination Pezzotti et al.85
Aqueous Controlled dielectric breakdown (CDB) concurrently with hydrosilylation to functionalize SiNx nanopores with different surface terminations Nanopores for DNA sequencing, protein profiling, glycomics, and the construction of ionic circuit elements Functionalized SiNx as scaffold for <100 nm-diameter nanofluidic ion-conducting channels Nuwan et al.86
Aqueous Azide derivatization of HF etched SiN, followed by "Click Chemistry" reaction with biotinylated PEG alkyne Biosensors, demonstrated for streptavidin Biotin coupled to SiN in which surface NH2 groups have been converted to N3 Dietrich et al.87

a)The 2012 report is included given the relevance of the interaction of water with the SiNx surface to its role as protective coating under extreme thermal, chemical, and mechanical environments.

Table VII.  Si– and N– bond dissociation energies for selected SiNx source chemistriesa). (Updated and Expanded from Ref. 7).

Source precursor Bond dissociation energy (kJ/mole)
Nitrogen  
  N2 (N–N) 946
  NH3 (N–H) 435
  H2NNH2 (N–N) 251
  H2NNH2 (N–H) 435
Silicon  
  (H3Si)3N (Si–N) 497
  SiI4 (Si–I) 284
  SiBr4 (Si–Br) 377
  SiCl4 (Si–Cl) 485
  HSiCl3 (Si–Cl) 471
  SiH2Cl2 (Si–Cl) 472
  H3SiCl (Si–Cl) 466
  H3SiSICl3 (Si–Cl) 409
  Cl3SiSiCl3 (Si–Cl) 397
  SiH4 (Si–H) 384
  H3SiCl (Si–H) 370
  SiH2Cl2 (Si–H) 368
  HSiCl3 (Si–H) 366
  Si2H6 (Si–H) 363
  (H3Si)2SiH-H(Si–H) 352
  (H3Si)3Si–H(Si–H) 343
  (H3Si)4Si(Si–H) 363
  Si2Cl6 (Si–Si) 278
  Si2H6 (Si–Si) 310
  H5Si2SiH3(Si–Si) 297
  H5Si2Si2H5(Si–Si) 284
  HSi(SiH3)3(Si–Si) 297
  (H3Si)4Si(Si–Si) 297

a)The table is intended to provide baseline comparisons of bond dissociation energies of selected organic and inorganic Si and N source chemistries with the most commonly used precursors.88,89

Table VIII.  Relevant properties of CVD and ALD SiNx precursorsa) (Updated and Expanded from Ref. 7).

Class Name Acronym Molecular weight % Si Form Boiling point Melting point (°C) Vapor pressure (°C: torr) Density (g cm−3)
Perhydridosilanes
  silane   32.12 87.4 gas −112° −185° 20°: >760 0.680
  disilane   62.22 90.3 gas −14.5° −132° 21.1°:2586 0.686
  trisilane   92.32 91.2 liquid 52.9° −117° 0°: 95.5 0.743
  deuterated silane   36.15 77.7 gas −112° −185° −122°: 400  
  n-tetrasilane   122.42 91.7 liquid 106° −85 to −95° 20°: 22 0.825
  isotetrasilane   122.42 91.7 liquid 101° −99° 20°: 25 0.793
  neopentasilane NPS 152.52 92.1 liquid 132–134° <−40° 25°:15 0.805
Hydridohalosilanes
  monochlororosilane MCS 66.56 42.2 gas −30.4° 118°   1.145
  dichlorosilane DCS 101.01 27.8 gas 8.3° −122° −34°: 100 1.22
  trichlorosilane TCS 135.45 20.7 liquid 31.8° −126.6° 14.5°:400 1.34
  pentachlorodisilane PCDS 234.44 23.95 liquid 131° −34°    
  trichlorodisilane TCDS 165.55 33.92 liquid 84° −80° 25°:70 1.26
  monobromosilane MBS 111.01 25.3 gas 1.9° −94° 25°:1700 1.533
  dibromosilane DBS 189.91 14.79 liquid 66° −70.1° 25°:166 2.17
  tribromosilane TBS 268.81 10.44 liquid 118.8° −73.5° 25°:12 2.58
  monoiodosilane MIS 158.01 17.77 liquid 45.8° −56.5° 25°: 245 2.035
  diiodosilane DIS 283.91 9.9 liquid 149–150° −1° 55°:25 2.834
  triiodosilane TIS 409.81 31.0 liquid 220° 95°: 12 3.314
Halosilanes
  tetrachlorosilane   169.90 16.5 liquid 57.6° −70° 20°: 194 1.481
  hexachlorodisilane HCDS 268.89 20.9 liquid 144–6° −1° 85°: 109 1.562
  octachlorotrisilane OCTS 367.88 22.9 liquid 213–5° −67° 90°:10 1.61
  tetrabromosilane   347.70 8.1 liquid 154° 0°:1.8 2.772
  tetraiodosilane   535.70 5.2 solid 287–8° 120–1° 125°: −30 4.198
Aminosilanes
  trisilylamine TSA 107.33 78.5 liquid 52° −106° 0°: 110 0.895
  bis(diethylamino)silane BDEAS 174.36 16.1 liquid 188–189° <0° 70°: 30 0.804
  bis(t-butylamino)silane BTBAS 174.36 16.1 liquid 167° <−50° 25°: 1.15 0.816
  bis(dimethylaminomethylsilyl)trimethylsilylamine DTDN2-H2 263.60 32.0 liquid 237°   60°: 1.0  
  di(s-butyl)aminosilane DSBAS 159.34 17.6 liquid 187°   25°: 2 0.653
  1,3-di-isopropylamino-2,4-dimethylcyclosilazane CSN-2 202.4 27.8 liquid 194°   60°: 4  
  tris(dimethylamino)silane 3DMAS 161.32 17.4 liquid 145–8° −90° 4°: 16 0.838
  tris(isopropylamino)silane TIPAS 203.40 13.8 liquid 165–166°   25°: 1 0.85
  tetrakis(ethylamino)silane TEAS 204.39 13.7 liquid >90°-dec. <0° 25°: 1.3  
  tetrakis(dimethylamino)silane 4DMAS 204.39 13.7 liquid 180° −2° 75°: 19 0.885
  tris(isopropyl)cyclotrisilazane TICTZ 261.59 32.2 liquid 220–224° −69° to −71° 67°: 1.8 0.919
  tetramethyldisilazane TMDZ 133.34 42.1 liquid 99–100° <−60° 25°: 55 0.766
  hexamethyldisilazane HMDSN 161.39 34.8 liquid 126–7° <−76° 50°: 50 0.774
  diisopropylaminosilane DIPAS 131.30 21.4 liquid 117° <−20° 55°: 106 0.76
Carbosilane
  Methylsilane 1MS 46.14 60.9 gas −57° −157° −80°: 241 0.63
  Dimethylsilane 2MS 60.17 46.7 gas −20° −150° −80°: 30 0.68
  Trimethylsilane 3MS 74.20 37.9 liquid 6.7° −135.9° 25°: 1218 0.638
  Tetramethylsilane 4MS 88.22 31.8 liquid 26.6° −99° 20°: 589 0.641
  Hexamethyldisilane 6MS 146.38 38.4 liquid 112° 13° 20°: 22.9 0.73
  Triethylsilane 3ES 116.28   liquid 107–8 ° −156.1° 20°: 40 0.728

a)Data in this table from sources cited in text or experimentally determined by the authors.

This survey is organized as follows:

  • Section 3.0 presents an overview of SiNx thin film deposition techniques, with focus on evolution of such techniques towards tighter control of source precursor-substrate interactions to form ultrathin films with precise composition and thickness.
  • Table I and section 4.0 outline pertinent properties of CVD and ALD SiNx thin films, as updated and expanded from Ref. 7.1
  • Table II lists Si precursor names, chemical formulas and structures, as well as CAS registration numbers.
  • Sections 5.0, 6.0, 7.0, and 8.0, as well as Tables IIIV give a high-level perspective on chemical vapor deposition (CVD), plasma-enhanced CVD (PE-CVD), atomic layer deposition (ALD), plasma-enhanced ALD (PE-ALD), and sputtering R&D reports in the open literature.
  • Section 9.0 and Table VI summarize customized surface engineering techniques of the top utmost layer of SiNx films for improved passivation and capping properties, as well as coupling to biomaterials for specialized heterodevices.
  • Table VII focuses on the Si– and N– bond dissociation energies for pertinent SiNx source chemistries, while Table VIII displays key properties of CVD and ALD SiNx source precursors, as updated and expanded from Ref. 7. Selected key properties and trends in Si precursor chemistry are also discussed in section 10.0.7
  • Section 11.0 presents an overall summary and commentary.
  • Appendices I, II, III, IV, and V provide critical details of SiNx vapor phase processing technologies, including modeling and mechanistic studies, deposition parameters, post processing treatments, customized SiNx surface treatments, and relevant results.

Overview of Silicon Nitride Thin Film Deposition Techniques

The Fourth Industrial Revolution, or Industry 4.0, is projected to have a radical impact on multiple industrial sectors, including the integrated circuitry (IC), optoelectronics, aircraft, energy, micro-electro-mechanical systems (MEMS), digital, medical, biological, chemical, and defense sectors.90 Briefly, the Fourth Industrial Revolution builds on the Third Industrial Revolution (or the Digital Revolution) to develop innovations that unify the physical, biological, medical, and digital worlds.

The resulting effects are especially exemplified in the IC industry, which, while continuing its historic evolution towards higher performance processors and increased density memory chips, is placing greater emphasis on the development of hetero-devices and systems that will serve as essential technological drivers for a wide spectrum of industrial applications. Due to this transition, the introduction of new materials and their integration with currently used ones is projected to replace IC design and device scaling as the key enabler of improved device performance and larger density gains.91,92

One such material system is the Si–N thin film material system in all its forms (SiNx, SiNx:H, SiNx(C) and SiNx:H(C)). Si–N continues to be the subject of intense R&D activity for applications in multiple industries. This activity focuses not only on examining how to extend Si–N's current usages, but also on exploring its promising role as a base platform the incorporation of new material systems, especially in the IC, optoelectronics, and solar cell industries.

Use of the Si–N thin film material system is projected to endure in a wide array of IC applications, including microprocessors, system-on-a-chip (SoCs), flash memory, and three-dimensional (3D) integrated systems. These include diffusion barriers, capping layers, and etch stops in traditional IC interconnect schemes, as well dielectrics in metal-insulator-metal capacitors and thin film transistors (TFTs). Similarly, SiNx is expected to maintain its role as a permeation barrier, passivation layer, and encapsulation layer in optical, optoelectronic, and electroluminescent devices, such as flat panel displays, lighting, light-emitting devices (LEDs), organic LEDs (OLEDs), planar optical systems, and optical waveguides. In the green energy field, SiNx plays an equally important and diverse role in solar cell applications, including passivation and cap layers. SiNx also acts as an effective host matrix for Si nanocrystal light emitters. In biotechnology and medical fields, SiNx is anticipated to sustain and expand its current role, particularly as a protective coating for in vivo and in vitro environments, including viewing windows for medical devices and insulating membranes for cell electroporation, as well as in biosensors for a variety of health-related applications.

Indeed, a review of the open literature demonstrates that the R&D interest in the Si–N thin film material system continues unabated. This review further shows a clear progression in SiNx processing technologies from physical vapor deposition (PVD) to CVD and ALD methodologies, both in thermal and plasma-assisted modes. As expected, this shift has been primarily driven by the move toward more complex device structures with ever decreasing dimensions, leading to the need for much higher precision and tighter control in the formation of what is commonly referred to as "zero-thickness" SiNx films with precisely-tuned composition and morphology.

A variety of CVD and ALD techniques have been explored for SiNx deposition. In the case of CVD, these include thermal, hot wire (HW-CVD), radiofrequency (RF) capacitively coupled plasma-enhanced (PE-CVD), remote plasma-enhanced CVD, and inductively-coupled PE-CVD. In the case of ALD, they include thermal, plasma-assisted (PA-ALD), plasma-enhanced (PE-ALD), and remote plasma ALD.

Interestingly, only very recent work has reported on the development and application of SiNx using what is commonly referred to as pulsed CVD. Pulsed CVD is the equivalent of ALD, except that the process is performed at a substrate temperature that leads to partial or complete decomposition of the pulsed precursor upon engagement with the substrate during every exposure cycle, as opposed to being restricted to the typical ALD physisorption or chemisorption reaction.93 A co-reactant is subsequently introduced to complete the decomposition reaction and/or remove the reaction byproducts to ensure a clean film. What is more puzzling, no R&D has been reported on alternatives to ALD for near-zero-thickness SiNx by other self-limiting processes, such as self-assembled monolayer (SAM) deposition and molecular layer deposition (MLD).94

Fundamental Properties of Silicon Nitride Thin Films

Table I lists physical, chemical, electrical, optical, and mechanical properties for CVD and ALD SiNx as compiled from the open literature. It has been updated and expanded from Ref. 7 to include data from 2017 through 2019. The data presented clearly demonstrates that the various characteristics of CVD and ALD SiNx are highly dependent upon the deposition technique employed and the resulting film texture and morphology, as well as the concentration and bonding configuration of H in the films. Wet etch rate (WER) has been included since it is increasingly considered a figure of merit for SiNx films. While only recently reported data has been compiled, we note that the values and trends are generally consistent with earlier reports.95 Bulk silicon nitride properties are included for reference.

ALD Modeling and Mechanistic Studies

Appendix A summarizes theoretical ALD modeling and mechanistic studies that are designed to examine the effects of Si precursor chemical structure and bonding configuration, N source reactivity in thermal and plasma environments, and substrate surface chemistry and pre-deposition treatment recipe on the adsorption and decomposition pathways of Si precursors and resulting SiNx morphology, composition and properties. No similar CVD reports were published during the same time period. In particular:

  • 1.  
    A. Dangerfield et al. (2017) employed a density functional theory (DFT) approach to perform first principle calculations simulating the reaction of DSBAS [di(s-butyl)aminosilane with hydroxyl-terminated oxidized Si surface under two scenarios: (i) a direct DSBAS pulse, and (ii) a trimethylaluminum (TMA) pulse followed by a DSBAS pulse. In the first scenario, the growing SiNx film was represented as a Si4N6 cluster terminated by NSiH3 species; in the second scheme, NAl(SiH3)2 configurations were used as SiNx surface coverage. The calculations revealed that the presence of aluminum (Al) increases the adsorption density of molecular N2H4 onto the OH-terminated oxidized Si surface, resulting in the reactivity of N2H4 with DSBAS and leading to the inclusion of N in Si.66
  • 2.  
    X. Meng et al. (2018) also applied a density functional theory (DFT) protocol to examine the reactivity and growth rates of Si precursors on p-type Si(100) surfaces. They theorized that three bonding configuration considerations decrease the surface energy barrier to SiNx nucleation and growth: (i) lower steric hindrance, (ii) reduced bond dissociation energies, and (iii) higher electron densities. They argued that the substitution of H atoms for Cl atoms would decrease the steric hindrance and allow the precursor molecule higher accessibility to reactive substrate surface sites with less physical intervention from the adjacent ligands. Partial substitution also increases the polarity of the molecule and enhances dipole−dipole interactions with substrate surface polar groups, which would (i) bring about higher electron density on the Cl atoms, thus improving the reactivity of the Si−Cl bonds, and (ii) generate another potential precursor adsorption and reaction pathway via Si−H bond cleavage. They cautioned, however, that increasing the number of H atoms in the precursor molecule could lead to higher H inclusion in the resulting SiNx films due to the presence of less reactive Si−H groups from the parent precursor. In summary, their model predicted that the reactivity of disilane type precursors, such as hexachlorodisilane (HCDS, Si2Cl6), is higher than their monosilanes counterparts, with additional reactivity enhancement achieved by replacing a Cl atom in HCDS with a H atom to produce pentachlorodisilane (PCDS, HSi2Cl5).18
  • 3.  
    Similarly, J.-M. Park et al. (2018) used density functional theory (DFT) simulations to obtain the lowest energy barrier to precursor adsorption and decomposition through geometry optimization of the substrate surface structure. They then used their findings to derive bond dissociation energy (BDE) values, reaction energy, and the energy barrier to precursor adsorption and reaction for the cyclosilazane type precursor 1,3-diisopropylamino-2,4-dimethylcyclosilazane (CSN-2). The calculations were carried out on an undercoordinated bare β-Si3N4 surface (>Si=N−) constructed to simulate an N2-plasma-treated SiNx surface. They explained that a more accurate approach to simulating the ALD process would be to model amorphous SiNx with dangling bonds and H impurities. Such an approach would require an impractically high computing power, however, since a large unit cell with random structures is needed to represent amorphous materials.27

Chemical Vapor Deposition (CVD)

Appendix B presents a summary of deposition parameters, post-processing treatments (if any), and key findings for SiNx thermal, catalytic, and roll-to-roll CVD, as well as plasma-enhanced and mirror-plasma-enhanced CVD (PE-CVD). Table III and Appendix B present the following key experimental trends and major results:

  • 1.  
    CVD and PE-CVD research continues in an effort to extend the use of SiNx for traditional applications, such a
    • Stand-alone and embedded memory, due to its spin transport, magnetoresistance, and magnetic resonance properties.47
    • Protective layers/etch stops for metal lines and polysilicon structures, stabilization layers, and active device structures in MEMS.26
    • Antireflection coating and passivation layers in Si solar cells.5,41,49
    • Protection/encapsulation layers, sacrificial layers, diffusion barriers, and functional structures, including top encapsulation layers in IC devices and three-dimensional (3D) devices.22
    • Protection/encapsulation layers and spacers in DRAM.32
    • Insulating layers in organic thin-film transistors and OLED.
  • 2.  
    CVD and PE-CVD are also being explored for a myriad of new SiNx applications including:
    • Host matrix for Si nanocrystals (Si-NCs) and quantum dots (QDs), deuterated SiN (SiN:D) waveguide in non-linear integrated photonics, low-loss SiNx for SiN waveguide buses, and bottom and encapsulation layer for embedded QDs in a triple SiNx/QD/SiNx stack structure for on-chip quantum dot QD/SiN lasers in photonic integrated circuits (PICs) for applications in Si nanophotonics systems, photoluminescence, photovoltaics, data storage, and optoelectronics.36,40,45,50,51,54,57
    • CMOS-compatible amorphous film with a bulk second-order nonlinearity through strong second-harmonic generation (SHG) for on-chip photonic devices.34
    • Gold (Au) nanorods embedded in nano-structured SiNx film to improve the reliability of MEMS switches with respect to dielectric charging phenomena in capacitive RF MEMS switches.48
    • Single-layer SiNx films on polyethyleneterephthalate as a moisture permeation barrier film for electronic devices on flexible substrates for incorporation into organic solar cells, QD displays, and organic light-emitting diode (OLED) displays.23
    • Active light emitting Si-rich and N-rich layers for visible spectrum light emitting diodes (LEDs).44
    • Passivation and dielectric layers in interdigitated back-contact (IBC) Si solar cells.49
    • Waveguides in nonlinear frequency combs and supercontinuum generation, spectroscopy and sensing, and photonic devices for telecommunications.38
    • Charge trap layers in 3D-NAND flash memory devices, gate spacers in FinFETs, stoppers in (CMP) in a self-aligned multiple patterning process when forming fins in FinFETs.24,73
    • Tunable luminescent film for Si-based LEDs in Si based monolithic optoelectronic integration.59
    • Membrane in microcapsules for transmission electron microscopy (TEM) analysis.61
    • a-SiNx:H matrix as a host for light-emitting Si nanocrystals in a heterojunction p + in + diode for an electroformed light emitting memory device consisting of a glass/Cr/p+in+/ITO structure.53
    • SiNx and SiNxOy/SiNx stacks as passivation layers for photodiodes in predictable quantum efficient detectors (PQEDs).42
    • a-SiNx thin film electrodes for lithium ion batteries.21
  • 3.  
    PE-CVD SiNx from inorganic Si sources, the reaction of silane (SiH4) with an ammonia (NH3) plasma as the chemical sources for Si and N, respectively, continues to be the primary SiNx deposition methodology. Films are deposited in the substrate temperature range of 300 °C–400 °C, and their composition from Si-rich to stoichiometric to N-rich is typically controlled by adjusting R (SiH4/NH3 flow ratio) or (NH3/SiH4 flow ratio).26,32,33,39,45,49,53 H inclusion is dependent on the processing parameters employed, with values reported in the range of 20% to 40% for as-deposited SiNx films. As expected, post-deposition thermal treatment led to a decrease in H content, although no quantitative data was provided. H effusion/release mechanisms for PE-CVD a-SiNx from the reaction of SiH4 and NH3 were analyzed and quantified at different temperatures. The authors claim that these mechanisms can be represented as follows62:
    The authors also reported that effusion from SiNx films grown at low temperatures is generally associated with desorption of H2 molecules through voids. However, in denser SiNx structures grown at higher deposition temperatures, hydrogen is released in a non-bonded form through a diffusion mechanism.62
  • 4.  
    Efforts to lower H concentration in as-deposited inorganic PE-CVD SiNx films include the work of J. Chiles et al. (2018), who explored the growth of PE-CVD SiNx at 275 °C from deuterated silane (SiD4) and NH3 as Si and N sources, respectively. The authors argued that the use of deuterated silane (SiD4) would eliminate high H content in the resulting PE-CVD films, and thus would minimize optical transmission losses from the N–H bonds overtone absorption peak located between 1500 and 1550 nm. The work produced high-quality deuterated SiNx (SiNx:D) with significantly lower interference from N–H bonds in the S&C telecommunications bands.36
  • 5.  
    For LP-CVD SiNx from inorganic Si sources, a number of inorganic Si course precursors were examined. All LP-CVD processes require very high temperatures (>800 °C) to form SiNx, which prevents their applicability to thermally fragile substrates. Examples include:
    • Dichlorosilane (DCS, SiH2Cl2), which in one report is reacted with NH3 at 830 °C to grow stoichiometric SiN1.33 for luminescence studies. The films are subsequently implanted with N and subjected to rapid thermal annealing (RTA) at 1200 °C for 3 min, resulting in increased luminescence in the blue-green region.33,44,58
    • Pentachlorodisilane (PCDS, HCl2SiSiCl3), which in one instance is reacted with (NH3 + H2) at 800 °C–1400 °C to grow practically H-free and stoichiometric SiN1.33. Films were amorphous up to 1000 °C and crystalline α-Si3N4 at 1200 °C and above.60
    In either case, it is believed that, in comparison to SiCl4, replacing Cl atoms with H atoms lowers the steric hindrance and enables higher accessibility of the precursor molecule to reactive substrate surface sites with less physical interference from adjacent ligands. The partial replacement of Cl atoms by H atoms also increases the polarity of the molecule to enhance dipole−dipole interaction with substrate surface polar groups and could simultaneously: (i) lead to higher electron density on the Cl atoms, thus enhancing the reactivity of the SiCl bonds, and (ii) generate an alternate precursor adsorption and reaction pathway via Si−H bond cleavage.60 The reviewers also note that in the case of the disilanes, there is a tendency for these materials to develop explosive hydrolysis gels in practice,96,97 with published and private industry communications suggesting that pentachlorodisilane has a higher propensity to form such dangerous species than hexachlorodisilane.
  • 6.  
    In terms of non-traditional reactor geometries and novel SiNx deposition processes, the following system configurations and architectures are noteworthy:
    • Catalytic CVD (Cat-CVD) reactor, also known as hot-wire CVD (HW-CVD). The basic strategy was to crack the gaseous reactants via catalytic decomposition reactions by passing them through a hot catalyzer located in close proximity to the substrates.63 It is argued that this approach would enable film deposition at much lower temperatures than in conventional thermal and PE-CVD. In this specific embodiment, the (SiH4 + NH3) reactant mixture was passed over a tungsten (W) wire catalyzer that was maintained at 1800 °C prior to deposition on the substrate, which was heated to 100 °C, to yield SiNx films. The reviewers note that catalytic CVD seems to be a misnomer, since it suggests the occurrence of a catalytic process that provides controlled pathways to reaction intermediates rather than thermally-induced precursor decomposition. In fact, the work does not describe a catalytic CVD technique in which the wire composition drives the actual deposition. For that reason, hot wire CVD would be a more accurate term.
    • Roll-to-Roll PE-CVD reactor. The reactor consisted of two major components: (i) a load-lock chamber to load, unwind, and rewind the flexible polyethylene terephthalate (PET) substrate, which included a cold trap for moisture removal and an RF generator for pre-deposition substrate cleaning; (ii) a deposition chamber made up of a central drum with controllable temperature and four linear microwave plasma sources, each equipped with a gas shower head for introducing the (SiH4 + NH3 + Ar + NF3) reactant mixture. The system was employed to grow films with a composition ranging from SiN0.81 for R (NH3/SiH4 flow ratio) = 2 to SiN0.93 for R = 9, at substrate temperature below 45 °C.23
    • Magnetic-mirror confined plasma source PE-CVD reactor (MPE-CVD). In this case, a Nd–Fe–B permanent magnet was applied to generate and focus the 5.85 GHz electron cyclotron resonance (ECR) magnetic mirror field. A primary benefit of this technique is that it minimizes plasma-induced ion-bombardment damage to substrate and film during processing. Using this system, the authors reported the deposition of SiNx films at room temperature (RT) with a Si/N ratio ranging from 0.5 to ∼1.2 for R (SiH4/NH3 flow ratio) varying from 0.08 to 0.12. H concentration in the films decreased from 200 °C–400 °C with higher substrate T.39
    • Laser-assisted CVD using an ultraviolet laser frequency to grow SiNx films while minimizing c-Si and polyethylene terephthalate (PET) substrate damage.35
    • PE-CVD reactor with a new showerhead geometry that replaces the air gap between the powered electrode (showerhead) and the ground electrode in a standard parallel plate plasma design with an aluminum (Al) plate. The plate was covered with a thick anodized coating containing concentric holes aligned with the showerhead holes. The resulting configuration was applied in PE-CVD SiNx from hexamethyldisilazane (HMDSN, C6H19NSi2) and Ar/N2 mixture as carrier gas and co-reactant. The net effect was a reduction in H inclusion in the resulting SiNx films compared to the standard parallel plate electrode architecture, as demonstrated by a significant increase in Si–N bond density as well as a more modest increase in N–H and Si–H bond densities.64
  • 7.  
    Other reported forms of the Si–N material system of interest grown by CVD or PE-CVD include:
    • PE-CVD of C-containing SiNx using trimethylsilane (HSi(CH3)3) and NH3 as Si and N sources, respectively, for use as a capping layer in IC Cu metallization schemes. The authors report the successful formation of a Si29.3C20.1N21.7:H28.9 phase through the addition of H2 to the PE-CVD reaction, vs Si27.3C17.7N20.9:H34.1 prior to the inclusion of H2 as co-reactant. The phase with the lower H content demonstrated improved robustness as a Cu capping layer. We note that these compositions would be more appropriately described as a silicon carbonitride (SiCmNn) phase. Film properties and structures can also be compared to earlier work reported for atmospheric pressure PE-CVD (AP-PECVD) from triethylsilane and N2.98
    • PE-CVD of a-SiNx:Oy from 5% SiH4 diluted in N2 and (NH3 + N2) as co-reactants to form a-SiNx, followed by in situ oxidation in O2 plasma to form a-SiNx:Oy for applications as an active layer in Si-based LEDs. The researchers state that the highest absolute photoluminescence quantum yield (PL QY) from tunable luminescent a-SiNx:Oy is 8.38%, which was achieved at a PL peak energy of 2.55 eV.59
    • PE-CVD of SiNx and dual-layer SiNxOy/SiNx stack as a capping layer in predictable quantum efficient detectors (PQEDs). Both types of capping layers displayed very good passivation properties post annealing, with the double layer stack exhibiting the best passivation, and yielding an effective carrier lifetime near 20 ms.42

Atomic Layer Deposition (ALD)

Appendix C presents a summary of deposition parameters, post processing treatments, if any, and key resulting findings for SiNx thermal, plasma-enhanced, and remote plasma-enhanced ALD (PE-ALD). Table IV and Appendix C present the following key experimental trends and major results:

  • 1.  
    ALD and PE-ALD are being explored primarily in efforts to extend the use of SiNx in IC applications, such as:
    • Diffusion barriers, passivation layers, etch and chem-mechanical polishing (CMP) stops, spacers, dielectric layers, and hard masks in IC devices.18,41,67,70
    • Gate spacers and sidewall spacers in DRAM.28
    • Charge trap layers in 3D-NAND flash devices.73
  • 2.  
    ALD and PE-ALD are also being explored for a very limited number of new SiNx applications, such as passivation/encapsulation layers in the form of Si–N nanostructures at the interface with GaAs to protect them against moisture, primarily in the form of hydroxyl groups.72
  • 3.  
    For PE-ALD from inorganic Si sources, the following Si source precursor are employed:
    • SiH4 which was reacted with a NH3 plasma to deposit SiNx at substrate temperatures in the range of 200 °C–450 °C. This is surprising since it is contrary to the R&D trends from 2010–2016, wherein very few reports described the use of SiH4 as Si source. In a few cases, the SiNx layer grown by the SiH4-based PE-ALD process was exploited as a baseline material to produce C-containing SiNx (see paragraph 7).68,72
    • A hydridohalosilane, namely, pentachlorodisilane (PCDS, HSi2Cl5), which was reacted with NH3 or N2/10%H2 plasma at substrate temperatures in the range of 270 °C–360 °C. The SiNx films deposited at 360 °C had low O content (∼2 at.%) and negligible Cl content (<1 at.%). The authors explain that the underlying surface reaction pathways were: (i) pre-deposition plasma nitridation to produce a substrate surface terminated with amine groups (−NH2 and −NH−); (ii) PCDS was then pulsed in. It reacted preferably with −NH2 groups and chemisorbed on substrate surface, releasing either H2 or HCl; (iii) in the plasma cycle, Cl ligands and H atoms from adsorbed PCDS were removed by plasma reactive species, thereby returning the substrate surface to its coverage with reactive amine groups; and (iv) −NH− groups were embedded into SiNx as the primary source of H bonds.18
    • Hexachlorodisilane (HCDS, Si2Cl6) was examined as replacement for SiH4, and is reacted with NH3 or N2/10%H2 plasma at substrate temperatures in the range of 270 °C–360 °C.18 The resulting films had similar composition and properties to those deposited using PCDS, expect that the latter exhibited higher reactivity and enhanced growth rates in comparison with HCDS.
    • Similarly, HCDS was reacted with a N2/NH3 plasma (flow ratio of 30/90) to yield Si0.49N0.48:O0.03 at 360 °C.24 Prior to deposition, surface nitridation was performed by pretreating the H-terminated Si substrate surface with NH3 plasma. In addition, H content in the films was reduced through: (i) greater thermal activation by applying higher substrate temperatures, or (ii) higher density of plasma excited species by increasing plasma power.
    As discussed in Appendix A, Si2Cl6 has a reduced energy barrier compared to tetrachlorosilane (SiCl4) for reactions with amine groups (−NH2 and −NH−) terminated substrate surfaces. The reviewers also refer the reader to section 5 for a discussion of the effects of substituting H for Cl.
  • 4.  
    Regarding co-reactants, NH3 or N2 continue to be the primary source of N in PE-ALD SiNx (see Table IV). A number of reports employed anhydrous hydrazine (N2H4) instead, although the reader is cautioned that it suffers from high toxicity and chemical instability.67 Surprisingly, no work was reported on any other N source, including t-butylhydrazine (C4H12N2), which could exhibit an appreciably lower dissociation energy than N2, thus making ALD SiNx feasible at lower substrate temperatures than N2.
  • 5.  
    Very few reports were published on thermal ALD since 2016. The source chemistries employed are:
    • A halosilane: namely, hexachlorodisilane (Si2Cl6), was reacted with hydrazine at 285 °C substrate temperature. Prior to deposition, the substrates were exposed to 400 ml N2H4 at 285 °C to terminate the surface with NHx groups. Post-deposition, samples were subjected to atomic H at a flow of 1800 liters under vacuum at 285 °C for 15 min, removing any residual Cl in the SiNx film through the formation of an HCl type desorption byproducts.67
    • An aminosilane, (di-s-butylamino)silane (DSBAS), ((s-Bu)2N)SiH3), which was reacted with hydrazine at 250 °C. Prior to deposition, a trimethylaluminum (TMA) pulse was applied to pre-treat the OH-terminated oxidized Si(111) with an Al monolayer.66 The Al monolayer was applied to catalyze the reaction of N2H4 with -SiH3 surface sites due to the lack of reactivity of N2H4 with the untreated Si–O–SiH3 surface. However, the SiNx film growth was limited to 1 nm. The TMA pulse was therefore repeated after every individual set of DSBAS/N2H4 cycles to deposit another Al monolayer and enable the growth of a thicker SiNx film. The authors report that the most likely reactivity pathway is the insertion of N into the Al-Si bonds. The resulting Al-SiNx interleaved film of varying atomic composition is strictly not a SiNx phase, and will undoubtedly exhibit properties that cannot be equated to SiNx.
  • 6.  
    For PE-ALD from organic Si sources, the following Si precursors were employed:
    • Trimethylsilane ((CH3)3SiH), which was reacted with NH3 plasma at substrate temperatures in the range 300 °C–450 °C.17 SiNx film composition evolved from Si rich to stoichiometric Si3N4 with higher NH3 gas flow and plasma power. Barrier properties against Cu diffusion for ALD SiNx are equivalent to PE-CVD SiNx, even though the ALD films exhibited a lower density.
    • Bis(dimethylaminomethylsilyl)trimethylsilylamine (DTDN2-H2), which was reacted with N2 plasma at substrate temperatures in the range 100 °C–500 °C. The optimum process window was identified for substrate temperatures in the range of 250 °C–400 °C. SiNx grown below 350 °C oxidized upon exposure to air due to low density, which was attributed to low deposition temperature. Near-stoichiometric SiN1.35 is formed at 400 °C with ∼5% O.25,41
    • 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), was studied in two reports:
      • •  
        In the first report, it was reacted with a NH3 plasma at substrate temperatures in the range 200 °C–500 °C. CSN-2 was selected because of its closed ring structure, which is conducive to good thermal stability (up to 450 °C) and high reactivity. A 3-step PE-ALD process was employed to improve film quality and step coverage on the lower sidewall of device structures: (i) CSN-2 pulsing step (ii) NH3/N2 plasma step to allow H radicals to remove CSN-2 ligands; and (iii) N2 plasma step to remove surface H and activate precursor adsorption. The authors reported achieving the highest quality SiN1.0 at 500 °C substrate temperature, with the inclusion of 7at% H and <2at% O.27
      • •  
        In the second report, CSN-2 was employed in a three-step remote plasma ALD (RP-ALD process consisting of: (i) CSN-2 pulsing step, (ii) H2 plasma pulsing step, and (iii) N2 plasma pulsing step.28 The authors argue for the use of H2 plasma due to the fact that N radicals exhibit an exceptionally short lifetime vs H radicals and/or O radicals. As a result, recombination loss occurs, and ligands are not completely removed from the adsorbed precursor, leading to deterioration in film quality. The introduction of an H2 plasma step prior to a N2 plasma step led to efficient ligand removal from the substrate surface due to the long lifetime of the H radicals. The authors also explain that PE-ALD of SiNx from aminosilane and NH3 plasma produces low growth rates because the H- and NHx-terminated surface is not undercoordinated, which prevents precursor adsorption. Alternatively, N2 plasma can produce reactive undercoordinated surface sites with dangling bonds. As a result, PE-ALD using aminosilane and N2 plasma leads to reasonable growth rates. The three-step RP-ALD process was reported to produce stoichiometric Si3N4 for substrate T ranging from 250 °C and 500 °C, with C and O content decreasing with higher substrate T from ∼4at% and 10at% to ∼0at% and ∼2at%, respectively.
    • Two aminosilanes: namely, bis(t-butylamino)silane (BTBAS), a dihydridosilane, and (di-s-butylamino)silane (DSBAS), a trihydridosilane, were selected to examine precursor and co-reactant (Ar or N2 plasma) reactions with an H-Si(111) substrate surface to distinguish plasma-induced effects from surface chemical processes. To this end, DSBAS was used to analyze the reaction pathways and mechanisms induced by Ar plasma phenomena, while BTBAS was employed to study SiNx PE-ALD growth in N2 plasma. The authors report that: prior to Ar plasma exposure, the Si(111) surface is unreactive to DSBAS, even at temperatures as high as 300 °C; this implies that the formation of a reactive site alone is not sufficient, and the presence of neighboring H (Si−H) is needed to release the amino ligand and chemisorb −SiH3 on active sites (probably Si dangling bonds or undercoordinated N). Exposure to Ar plasma caused desorption of H atoms from the H-Si surface, leaving Si dangling bonds that enable reaction with DSBAS. The authors also report that a pre-deposition 5 min exposure to N2 plasma led to substrate surface saturation, both in terms of surface nitride layer thickness and N incorporation. Subsequent PE-ALD investigations at substrate temperatures of 100 °C and 200 °C and 175 and 275 W N2 plasma power indicated that the SiNx phase with the least C, O, and H inclusion is achieved at 200 °C and 175 W. Lower substrate temperature led to C contamination, while higher plasma power led to increased O inclusion.70,73
  • 7.  
    Other reported ALD-grown forms of the Si–N material system of interest consist of C-containing SiNx films, as reported by R.A. Ovanesyan et al. (2017), in which PE-CVD SiNx was used as a base platform in two- and three-step processes to grow the C-containing phase, as summarized below.69
    • 2-step PE-ALD process: (i) PE-ALD SiNx from the reaction of SiH4 and NH3 at 350 °C substrate temperature, followed by (ii) a PE-ALD SiCl2(CH3)2 step at 475 °C substrate temperature. SiCl2(CH3)2 was observed to interact with the –NHx (x = 1, 2) terminated surface formed by NH3 plasma exposure, with –CH3 groups being removed from the precursor during its adsorption step, leading to only a small C concentration in the SiNx films.
    • 3-step PE-ALD process: (i) same PE-ALD SiNx process as above, followed by (ii) PE-ALD a-Si:H from the reaction of SiH4 and H2 plasma at 200 °C substrate temperature to form a -SiHx (x.1, 2, 3)-terminated surface, then (iii) exposure of a-Si:H to CH3I at 400 °C substrate temperature. CH3I was observed to interact with surface –SiHx (x = 1, 2, 3) to form surface –SiIx (x = 1, 2, 3) groups with the release of CH3.
    • 3-step PE-ALD process: (i) same PE-ALD SiNx process as above, followed by (ii) PE-CVD SiNx from the reaction of Si2Cl6 and Ar/NH3 plasma at 350 °C substrate temperature, then (iii) exposure to Al(CH3)3 at 320 °C substrate temperature. Al(CH3)3 was observed to interact with the Cl-terminated Si surface to form–SiCH3 species. Residual Al stayed on the surface in the form Al(CH3)x (x = 1, 2) groups that were not entirely released as reaction byproducts in the form of Al(CH3 )x Cl3-x (x = 1, 2).

Physical Vapor Deposition (PVD)

Due to the gradual shift in IC fabrication from PVD to CVD and ALD methodologies, few relevant reports on reactive magnetron sputtering (RMS) of SiNx were published between 2017 and 2019. Interest in sputtering of the related material, SiAlON (in which Al and O atoms partially substitute Si and N, respectively) for luminescent applications has emerged but is not reviewed herein.99,100 Table V and Appendix D present the following key experimental trends and major results:

  • 1.  
    The purpose of the PVD SiNx work reported within the 2017–2019 time period is to explore its use as:
    • A glazing layer, diffusion barrier, or corrosion resistance film within glass stacks for the glass industry.74
    • An antireflection coating in solar cells and passivation layer/mask to protect from local oxidation of Si surfaces in optical waveguides.75
  • 2.  
    Electrochemical impedance spectroscopy (EIS) and transmission electron microscopy (TEM) analyses of glass/SiNx/NiCr/Au/SiNx stacks was carried out to analyze through-porosity in SiNx coatings. EIS and TEM findings indicate the existence of nanopores with a diameter ranging from 0.5 to 2.0 nm.74
  • 3.  
    As presented in Appendix D, the films deposited for anti-reflection coating applications are non-stoichiometric SiNx, and exhibit a mixture of three types of defects: (i) optical inhomogeneity (as determined by the refractive index profile across the films); (ii) uniaxial anisotropy with a perpendicular optical axis to the boundaries; and (iii) random roughness of the upper boundaries.75

Tailored Surface Modification Methods of SiNx

The surface of SiNx films, which can be defined as the topmost atomic layer of a SiNx-rich film, has attracted increased attention for its influence on: (i) overall functional performance, such as enhanced capping and passivation properties; (ii) nanoscale engineering to enable transition to other material phases, such as coupling to biomaterials for specialized heterodevices. More specifically, the ultimate functional objectives dictate the actual techniques used to tailor the SiNx surface in order to control its interfacial behavior in the formation of the subsequent layer of interest. These objectives can include adhesion, surface activation/suppression (in what is commonly referred to as area-specific deposition (ASD)), passivation, capping, or coupling to biological materials. Surface tailoring methods can be classified into two categories: aqueous and non-aqueous. Table VI and the discussion below present a survey of the purpose and application of both types of customized surface engineering techniques.

  • 1.  
    Aqueous SiNx surface modification techniques are being explored for SiNx use as:
    • Protective coating for tribological components that require high reliability for automotive and aerospace applications.76
    • Protection/encapsulation layers, sacrificial layers, diffusion barriers, and functional structures including top encapsulation layers in IC and MEMS/NEMS devices.77
    • Interference films in a reflectometric interference spectroscopy (RIfS) sensor system for two new types of SiNx biosensor chips: (i) SiNx chip modified with lactose (carbohydrate); (ii) SiNx chip with an antibody (protein). Potential applications include agriculture, biochemistry, medicine, health-care, food, and environment fields.79
    • Single-nanometer-scale SiNx pores for the detection, identification, and characterization of analytes for DNA sequencing and single-molecule nanoparticles measurements, biomolecules such as bacteria, protein, antibodies, nucleic acids, DNA, and RNA.81
    • Bioceramic coating for healing of soft and osseous tissue, inhibition of bacteria proliferation, and virus extermination for incorporation into orthopedics, dentistry, virology, agronomy, and environmental remediation.85
    • Functionalized layers that serve as scaffolding for <100 nm-diameter nanofluidic ion-conducting channels (nanopores) for DNA sequencing, protein profiling, glycomics, and ionic circuit element construction.86
  • 2.  
    Non-aqueous (vapor phase) SiNx surface modification techniques are being explored for the use of SiNx as:
    • a-SiON:H as an encapsulation and protective layer of conducting polymers and chemically reactive electrodes against H2O and O degradation.78
    • Ultrathin nanoporous nanomembranes (NPN) coated with stable organic molecules to enhance functionality without reducing permeability and size selectivity for use in biological separations, cell culture, micropumps, and sensors.80
    • A charge trap layer in 3D vertical NAND flash memory.82
    • Biofunctionalized SiNx to identify target molecules for applications in biosensors for medical diagnosis, drug development, food industry, and environmental monitoring.83
    • A selective adhesion promoter, corrosion resistance cap, and protective layer against biofouling for applications in the glass industry, molecular electronics, and biotechnology, respectively.84
  • 3.  
    In terms of aqueous SiNx functionalization protocols, the following surface treatments are noteworthy:
    • Various aqueous HF-etching solutions, aldehyde molecules, and tricholo-organosilanes were applied to examine the selective functionalization of the SiNx surface. It was determined that HF etching results in an Si–F and Si–OH terminated surface with no selectivity. Alternatively, surface modification through SiNx surface derivatization by aldehyde molecules followed by chlorosilanes achieved selectivity, which is associated with surface oxidation.101
    • A high pH (2 M KOH) solution was applied to two types of SiNx biosensor chips: namely, a SiNx chip modified with lactose (carbohydrate) and a SiNx chip with an antibody (protein). This high pH modification of the SiNx surface induced the formation of Si–OH surface groups which could then be coupled by organofunctional silanes to functional antibodies. One example of the latter was the use of a combined plant lectin and a toxin (ricin) to detect and screen for enterohemorrhagic Escherichia coli (EHEC) O157:H7 strain in the presence of possible interfering materials.79
    • A 3-step chemical modification protocol was applied to achieve covalent-bonding of amphoteric poly-L-lysine (PLL) to the interior of SiNx nanopore surfaces. The resulting structures were successfully applied in the detection of single-stranded DNA homopolymer translocation.81
    • Exposure of SiNx to an acidic environment at low pH leads to a slow degradative oxidation of the SiNx surface, primarily resulting in the release of NH4+ ions. Alternatively, treatment at high pH ultimately produces NH3 and H2O according to the following equations:
      Both processes occurred at physiological pH (7.2–7.4), with residual silanol groups of the form Si−OH and basic secondary amines of the form (Si2-NH) being generated on the SiNx surface. This surface degradative process with elution of Si and NH3 species was considered to be quite unique to SiNx and is beneficial for repair and healing of osseous tissue while concurrently inhibiting bacterial and viral proliferation.85
    • Controlled dielectric breakdown (CDB) was applied concurrently with hydrosilylation to functionalize SiNx nanopores with various surface attachments ranging from acidic (Si−R–OH, Si–R–CO2H) to basic (Si−R−NH2), to nonionizable (Si−R−C6H3 (CF3 )2) to chemically control the nanopore size, surface charge polarity, and resulting chemical reactivity, as well as to modulate its conductance by varying the solution pH. The resulting structure was employed in the detection of a test molecule, λ -DNA.86
  • 4.  
    Non-aqueous surface modification techniques can be divided into two general categories: (1) plasma or ultraviolet light-based processes that are in accordance with generally accepted IC fabrication process flows; (2) organic derivatization techniques more usually associated with synthetic organic chemistry. The latter include, olefination, acid-base interactions, and self-assembled monolayers.

Plasma- or UV-based Surface Modification Techniques

  • UV light-based vapor-phase carbene insertion into surface C–H bonds was performed to form <5 nm-thick molecular capping layers on ultrathin nanoporous SiNx membranes. The latter were further chemically functionalized with additional monolayers of polyethylene glycol (PEG). Subsequent analysis showed that the resulting membranes: (i) maintained 80% of their original gas penetrability and 40% of their initial hydraulic permeability; (ii) were stable up to 48 h against water exposure; and (iii) inhibited nonspecific adsorption of immunoglobulin G (IgG) and bovine serum albumin (BSA) proteins.80
  • An inductively-coupled-plasma reactive ion etching (ICP-RIE) surface amination technique using H2 was applied to convert SiNx surface atoms to Si–NH2. The resulting SiNx surface was readily biofunctionalized with both protein and oligonucleotide through covalent immobilization. A demonstration of feasibility study was carried out to achieve: (i) 90% surface coverage employing N-5-azido-2-nitrobenzoyloxysuccinimide, a photoactivable amino reactive bifunctional crosslinker; (ii) immobilization and hybridization of single strand DNA (ssDNA) with its complemented strand.83
  • The application of O2 plasma for conversion of the SiN surface to SiOxNy is well-known and could be part of a strategy for hydroxylating the SiN surface for reaction with organofunctional silanes to act as an improved adhesion promoter.102 More recently, this approach was expanded in a theoretical first-principles study to include the concept of combining O2 and fluorocarbon plasmas to enable equivalent etch rates for both SiN and SiO2 surfaces for 3D-NAND applications. The modeling work showed that once the SiN is converted to SiOxNy, the resulting etch rate more closely matches that of SiO2 in the fluorocarbon regime.82

Organic Surface Derivatization Techniques

Organic derivatization techniques utilize two primary protocols based on:

  • Removal of surface N to expose Si–H sites, which would then react with olefin species. This reaction was proposed as a technique for providing resistance to oxidation as well as a mechanism for integration into bio-functional heterodevices.92
  • Reaction of organofunctional silane, phosphonic acid, or carboxylic acid type entities with hydroxyl (silanol) surface groups on Si–N, wherein O atoms replace Si atoms.92

In this respect, it is recognized that the characterization of surface functionalization is often imprecise and consequently associated reports in the literature must be reviewed in this context.77 On the other hand, derivatization of SiNx surfaces by UV light-activated olefin addition to Si hydrides (formed through an HF etch) can be attributed to an exposed Si surface.84

  • 1.  
    The interaction of water with the SiNx surfaces is also of great interest given the relevance to its role as a protective coating under extreme thermal, chemical, and mechanical environments. In this context, it was reported that the reaction of water with bulk SiNx at neutral pH proceeded slowly. Early observations that both the coefficient of friction and wear rate of SiNx were decreased in the presence of even small amounts of water or moisture have recently been attributed to tribochemical replacement of N by O in SiNx, with the concomitant release of NH3.76 Alternatively, a-SiON:H was observed to degrade rapidly in the presence of water vapor, with the degradation occurring by reaction with H2O through interstitial paths and nanodefects.78

As illustrated in the work above, SiNx biofunctionalization is typically a multi-step undertaking that consists of two sets of surface engineering processes. In the first set, the following reactions take place: (i) non-specific adsorption of a N rich poly(amino acid) or protein to the SiNx surface; (ii) replacement of a topmost N with an O, usually in a hydroxylic form; (iii) reaction with a H bound to N (amidic protons). In the second set, an additional reagent is applied in an ensuing coupling reaction to bind the bio-functional compound to SiNx. There do not appear to be any literature reports of biofunctionalization of the formal compound Si3N4.

Overview of Silicon Nitride Source Chemistries

Table II presents Si precursor names, chemical formulas, chemical structures, and CAS registration numbers, while Table VII lists Si– and N– bond dissociation energies for selected SiNx source chemistries. Table VIII also summarizes relevant properties of CVD and ALD SiNx precursors. All tables have been updated and expanded from Ref. 3.

A review of Table VIII and the published work presented here indicates that relatively few new Si source chemistries for CVD or ALD SiNx have been introduced since 2016. The development of novel precursors that can deposit high quality SiNx at low temperatures without the use of plasma or UV light activation remains an urgent objective. To this end, the general trend has been to explore a combined functionality in precursor design and synthesis. However, while the precursor must exhibit an intrinsic pathway for and be conducive to the deposition of SiNx, the ability to affect a surface driven deposition in low energy environments continues to be elusive.

This challenge has perhaps serendipitously driven attention to precursors that can interact with substrate defects, either by substitution or with uncoordinated surface sites. Such chemistries include amino-functionals or iodo-substituted silane precursors in which the amine or the iodine can be displaced with a surface hydroxyl group. The most promising of these compounds are those in which 2 or more H atoms are bound to Si and appear to have the potential to interact with substrate surfaces that are H-rich or exhibit a high density of surface dangling bonds and low-coordination site openings.

As discussed in this review, these precursors include: di(s-butyl)aminosilane, diiodosilane, bis(dimethylaminomethylsilyl)trimethylsilylamine, and cyclic versions 1,3-di-isopropylamino-2,4-dimethylcyclosilazane and tris(isopropyl)cyclotrisilazane.103 In particular, earlier work on iodosilanes is drawing revived interest for pulsed CVD, ALD, and PE-ALD applications.104106

Summary and Commentary

In this supplement to our earlier review article on the SiNx material system,7 the authors provide a survey of the most recent SiNx work in the open literature, spanning mainly the years 2017 through 2019. The article summarizes key details pertaining to Si source precursors and co-reactant chemistries, theoretical modeling and mechanistic studies, CVD and ALD growth processes, current and new potential applications, and relevant physical, electrical, and optical properties. For the full potential of silicon nitride thin films to be achieved in integration into Ultra Large Scale Integration (ULSI) and heterodevice structures, effective control of the transition to other material phases must be realized. Consequently, this report introduces a new section that outlines novel research in the area of nanoscale engineering of the topmost atomic layer of a SiNx-rich film to enable coupling to semiconductors and bio-materials for specialized heterodevice systems.

Moving forward, it is worth noting that, while the literature has focused on the Si–N system as a material that can be generated by a variety of methods for a plethora of applications, the descriptor silicon nitride should only be used as an aggregational term. The wide range of deposition processes used and continuously expanding set of usages make it difficult or impossible to provide useful universal figures of merit that adequately define or determine appropriateness for a given application. Silicon nitride should therefore be viewed as a poorly defined class of materials with significant variations in composition, structure, and morphology. Films quite frequently consist of differing combinations of Si, N, and H, often with non-trivial atomic concentrations of C and O and with significant compositional variations between film bulk and surface regions. It is also common for films to be amorphous, without any defined atomistic bonding or arrangement and with no specific information on H content or its impact on film properties. Despite these ambiguities, the progression of applications, beginning with bulk ceramics and structural composites and progressing to IC systems including dielectrics, passivation layers, and barrier films, then moving to active photonic, optical, and biomedical devices, has been astonishing.

However, the ability to grow high-quality near-zero thickness films in low energy environments without the need for plasma enhancement and its potential disadvantages, including excessive H inclusion, is inherent to the success of future SiNx applications. This capability is necessary to ensure the successful integration of chemically and mechanically stable films into emerging heterodevice structures.

Equally important is the need to develop novel Si source precursors and/or innovative surface activation or treatment techniques to enable area specific deposition (ASD), either by selectively catalyzing or inhibiting film nucleation and growth. While progress has been made in this direction, real manufacturing-worthy breakthroughs have remained elusive. The key to ASD, at least in part, is the need to differentiate the SiNx surface from other dielectrics—primarily SiO2, as well as hydrogenated Si surfaces. This differentiation has so far been unattainable due to the fact that SiNx surface oxide and/or hydride are either formed or exposed during the ASD processing steps. What is needed is discrete and differential bonding to a clean and highly reactive SiNx surface. To this end, and while still difficult to envision in high-volume manufacturing (HVM) of IC devices, biomedical applications have realized a number of high-risk, high pay-off achievements that could provide some guidance, such as the application of nitrene or carbene "click chemistry" to pre-treat the SiNx surface.

In any case, it is clear that work on silicon nitride materials will continue to be fertile and rewarding from the perspective of fundamental materials understanding, process technology, and eventually enabling new applications.

Appendix A.: Summary of Recent ALD SiNx Modeling and Mechanistic Studies

Modeling technique Precursor class Brief description References
First Principle calculations of ALD reaction pathways using Density Functional Theory (DFT) from Turbomole 6.1, employing the Perdew–Burke–Ernzerhof (PBE) exchange correlation functional and the def2-TZVP basis set. The resolution of the Identity (RI-J) method was applied to speed up calculations. Di-s-butylaminosilane (DSBAS) with hydrazine as co-reactant, with or without a pre-deposition trimethylaluminum (TMA) pulse to form an Al monolayer (see also Appendix C) The surface of the OH-terminated oxidized Si surface and the SiNx film was simulated as a Si4N6 cluster representing a piece of a perfect Si3N4 surface, bearing a NSiH3 or NAl(SiH3)2 termination to represent the growing Si3N4 layer after DSBAS pulse and the growing Si3N4 layer after a TMA then a DSBAS pulse. The calculations revealed that the presence of Al increases the adsorption density of molecular N2H4 to the OH-terminated oxidized Si surface and therefore the reactivity of N2H4 with the Si precursor, leading to the inclusion of N into the Si. The enhancement was limited to ∼1 nm, requiring additional TMA exposures to increase the Si3N4 thickness to 1.4 nm. Dangerfield et al.66
Density functional theory (DFT) of ALD reaction pathways. Hydridohalosilanes and halosilanes (see also Appendix C) Three important factors in the lowering energy barrier of precursor adsorption to substrate surface and achieving higher reactivity and growth rates for Si source precursors: (i) reducing steric hindrance; (ii) reducing bond dissociation energies, and (iii) increasing electron densities. For monosilane precursors, DFT calculations predict that dichlorosilane (SiH2Cl2 ) has a lower energy barrier than tetrachlorosilane (SiCl4 ) for reactions on amine group (−NH2 and −NH−) terminated surfaces. Replacing Cl atoms with H atoms can lower the steric hindrance and enable higher accessibility of the precursor molecule to reactive substrate surface sites with less physical interference from adjacent ligands. The substitution also increases the polarity of the molecule to enhance dipole interaction with substrate surface polar groups and could lead to: (i) higher electron density on the Cl atoms, improving the reactivity of the SiCl bonds, and (ii) generate another possible precursor adsorption and reaction pathway via Si−H bond cleavage. However, increasing the number of H atoms in the precursor molecule could lead to higher hydrogen incorporation in the resulting SiNx films due to the inclusion of less reactive Si−H clusters from precursor. In this respect, the reactivity of disilane precursors is expected to be higher than their monosilane counterparts due to the relatively weaker and more reactive Si–Si bond. For that reason, hexachlorodisilane (HCDS) exhibits higher reactivity than monosilanes. Further increase in reactivity could be achieved by replacing one Cl atom in HCDS with one H atom in pentachlorodisilane (PCDS). Meng et al.18
Density functional theory (DFT) of ALD reaction pathways with Dmol3. The generalized gradient approximation was applied with the PerdewBurkeErnzerhof approach as exchange −correlation functional and double numerical polarization as basis set. The cyclosilazane precursor 1,3-diisopropylamino-2,4-dimethylcyclosilazane (CSN-2). The surface structure with lowest system energy was derived by geometry optimization, with the scenario leading to the lowest precursor adsorption energy being selected as the most stable configuration for the precursor-substrate surface reaction. Bond dissociation energy (BDE) values, reaction energy, and the energy barrier to precursor adsorption and reaction were derived. The chemisorption of CSN-2 was analyzed by building an undercoordinated bare β-Si3N4 surface (>Si=N−) to simulate the N2-plasma-treated SiNx surface. The path of the first half reaction was anticipated by calculating the energy and the structure of the unbound, physi-sorbed, chemi-sorbed, and transition states of CSN-2 on the undercoordinated bare β-Si3N4 surface. Park et al.27

Appendix B.: Summary of CVD and PE-CVD SiNx Processing Parameters, Post Processing Treatments, and Key Results

Reactor Type Substrate Type Subs. T (°C) N source & flow rate (sccm) Si source & flow rate (sccm) Co-reactant & flow rate (sccm) Duration of deposit. (sec) and/or Growth Rate Working pressure (torr) RF power (W) or power density (W cm−2) Film thick. (nm) Post Dep. Thermal Treatment Pertinent details References
Standard PE-CVD reactor Si(100) 350 NH3 SiH4 None N/A 0.5 20 W 80 1100 °C in N2 for 35 min to form Si-NCs PL intensity in annealed films varied non-monotonously with R, with highest intensity for R = 0.59–0.67, indicated the presence of multiple luminescence centers in the films. Jaramillo Gomez et al.45
      R (NH3/SiH4) flow ratio was varied from 0.45 to 1.0 SiH4 flow rate kept constant @ 14 sccm                
Standard PE-CVD reactor n-type Si (100) with ρ = 1–5 Ω.cm 400 NH3 @ 5 sccm 5% SiH4 diluted in Ar None N/A N/A 13.56 MHz @ 20 W 12 None reported • SixNy composition controlled by adjusting R(SiH4/NH3) flow ratio from 0.65 to 2.61 Han et al.26
                      • Growth rate increased from 9 nm min−1 @0.65 to 31 nm min−1 @2.24, then levelled off  
                      • Si/N ratio increased linearly from 0.448 to 0.806 as R increased from 0.65 to 2.61  
Standard PE-CVD reactor Fused silica 300 NH3 (10, 20, 30, 40 sccm) 5% SiH4 diluted in N2 (40, 1000 sccm)     1 Alternating between 1 s @13.73 MHz, 30 W and 5 s @∼100300 kHz, 50 W for 5% SiH4 diluted in N2 @ 40 sccm 505–662 None reported SiNx was optimized for efficient bulk-type second-harmonic generation (SHG) response by controlling film composition. Highest susceptibility component value was found to be ∼5 pm V−1, corresponding to the most Si-rich sample, which is comparable to that of traditional nonlinear crystals. Optical losses were sufficiently low. Given its compatibility with CMOS, these results make SiNx is a viable candidate in many new nonlinear optical applications. Koskinen et al.34
                13.56 MHz @ 20 W for 5% SiH4 in N2 @ 1000 sccm        
Standard PE-CVD reactor SiO2 on high resistivity Si substrate 200 N/A N/A N/A N/A N/A 13.56 MHz 100 None reported • Nanostructures fabricated by growing 100 nm-thick PECVD SiNx films, opening 150-nm and 500-nm diameter holes in them, depositing 100-nm Au nanorods, then growing 100 nm-thick PECVD SiNx films on top. Koutsoureli et al.48
                      • Nanorod distribution and dimensions determined electrical properties and dielectric charging behavior of the nano-structured films. Films with thicker nanorods showed higher leakage, larger capacitance, smaller discharging times and increased dielectric charging phenomena  
Catalytic CVD (Cat-CVD) reactor 290-μm-thick, double-side mirror-polished n-type c-Si(100) with ρ−1–5 Ω·cm and bulk minority carrier lifetime >10 ms 100 NH3 (150 sccm) SiH4 (8 sccm) N/A 184 s 0.075 N/A N/A Films annealed @350 °C for 30 min in N2 to increase dangling bonds density on c-Si surfaces through diffusion of H from SiNx films, enhancing passivation quality • Prior to SiNx deposition, pyramid-shaped textured crystalline Si (c-Si) surfaces were formed on substrates by anisotropic etching in alkaline solution Ohdaira et al.49
                      • P Cat-doping was then performed on part of the textured c-Si heated to 80 °C by Cat-CVD using a W wire catalyzer heated to 1800 °C, placed 12 cm from the substrate. Miyaura et al.63
                      • 100 nm-thick SiNx films were then deposited by Cat-CVD on doped and undoped c-Si using a W wire catalyzer heated to 1800 °C, placed 8 cm from the substrate.  
                      • P Cat-doping was effective @ lowering surface recombination velocity (SRV) of c-Si with SRVs of ∼8.0 and ∼6.7 cm s−1 achieved for passivation with SiNx and SiNx/P Cat-doping, respectively.  
                      • Structures exhibited high optical transparency and low Auger recombination loss, making them effective in surface passivation of interdigitated back contact c-Si solar cells.  
                      • Film passivation quality improved after RT storage, even in dark.  
                      • Fluorescent light illumination further enhanced the PL.  
                      • This was attributed to a decrease in Si dangling bond density, and an increase in positive charges in SiNx, coupled to an enhancement in field-effect passivation.  
Standard PE-CVD reactor n-type (100) Si 350 N2 SiH4 N/A N/A 0.525 N/A 300 Annealing in Ar ambient @600, 800 and 1100 °C for 60 min • Normalized PL intensity for both Si-rich and N-rich film increased with annealing @600 °C then decreased with higher annealing temperature. Parkhomen-ko et al.50
      R(SiH4/NH3)flow ratio was set @ 1/3 for Si-rich and 1/6 for N-rich SiNx films             • Largest PL intensity increase (∼10 times) was observed for Si-rich film after annealing @600 °C due to an increase the concentration of K-centers resulting from Si–H bond breakage.  
                      • Normalized PL intensity for both Si-rich and N-rich films decreased with higher sample temperature from 10 to 300 K.  
                      • Major PL bands were observed in the red and blue spectral ranges for Si-rich and N-rich films, respectively.  
Standard PE-CVD reactor p-type (100) Si 350 NH3 SiH4 None N/A 0.5 20 W 80 1100 °C in N2 for 35 min to form Si-NCs • Film composition ranged from 54% Si, 24.5% N, and 21.5% H for R = 0.5 to 45% Si, 36% N, and 19% H for R = 1.0. Torchynska et al.37,51,52
      R (NH3/SiH4) flow ratio was varied from 0.45 to 1.0 SiH4 flow rate constant @ 14 sccm             • Si-NCs were 3–6 nm in size.  
                    • Same PL findings as45  
Standard PE-CVD reactor Si N/A N/A N/A N/A N/A N/A 5000 None • No SiNx breakdown was observed within the electric field range studied, namely 1 V μm−1 to 195 V μm−1. Tuncer107
                    • Analysis methods were applied to extrapolate an intrinsic SiNx breakdown value estimate of 400–500 V μm−1.  
Standard PE-CVD reactor N/A 350 NH3 Trimethyl-silane (3MS, HSi(CH3)3) H2 (1000–4500 sccm) N/A N/A 13.56 MHz N/A UV cure • Standard SiCN grown using TMS and NH3 without H2 co-reactant addition. Film composition was 27.3% Si, 17.7% C, 20.9% N, 34.1% H. Van Nguyen et al.22
                      • Lower H content SiCN grown using TMS and NH3 with H2 co-reactant addition. Film composition was 29.3% Si, 20.1% C, 21.7% N, and 28.9% H.  
                      • Lower H content SiCN exhibited higher robustness due to H concentration reduction.  
Standard PE-CVD reactor Cr-coated glass 200 (p + layer) then 250 (in + layer) then 200 (n + nc-Si:H) NH3 @ 40 sccm SiH4 @ 10 sccm N/A N/A 0.5 22 mW cm−2 N/A N/A • Layered doped nc-Si on Glass/Cr capped with ITO electroformed under calibrated DC 14 V forward bias stress with current limited to 50 mA Anutgan et al.53
                      • Non-volatile LEM demonstrated with optical read voltage: 2.5 V; resistance ratio between Light-ON and Light-OFF states: 33; data retention time: >78 years; flash write voltage: 6.44 V  
Standard PE-CVD reactor Standard PE-CVD SiNx semiconductor process SiNx layers were grown: low-loss SiNx layer for SiN waveguide bus on top of 3 μm SiO2; multiple 100 nm-thick SiNx bottom and top layers encapsulating embedded 55 nm-thick QD layers to form a QD/SiN disk; an on-chip QD/SiN microdisk laser coupled to planar SiN waveguides. Xie et al.54
Standard PE-CVD reactor 300 mm Si wafers 150–400 NH3 SiH4 N/A N/A 0.5–3.0 13.56 MHz 400–1200 W N/A N/A • Two 300 mm diameter showerhead designs explored: (i) blocking holes, where special high T tape was used to block hole tops in 7 configurations, including totally open; 250, 200, and 150 mm diameter inner circles open, and 200, 150, and 100 inner circles blocked; and (ii) drilling hole, with different hole lengths and distributions tested. Xia et al.55
      (NH3 + SiH4) flow rate was varied from 500 to 8000 sccm             • Various shower designs were applied to globally or locally control the deposition rate, composition, refractive index, and morphology of the SiNx films and enable deposition on 300 mm wafers. Xiang et al.56
Standard PE-CVD reactor Si 200 NH3 @ 0.02 sccm SiH4 @ 0.32 sccm N/A N/A ∼0.825 25 W 50, 70, 180 N/A • Si-rich SiNx (SRSN) films deposited as top layer on Si solar cells Braña et al.5
  Si solar cells                   • Variation in thickness achieved by changing deposition duration.  
                      • Si nano-dots were observed in SRSN matrix by TEM.  
                      • Solar cell efficiency increased with higher SiNx film thickness, with highest efficiency of ∼9.9% achieved for 180 nm-thick film.  
Standard PE-CVD reactor Thermally oxidized (∼3 μm SiO2 on Si) 275 N2 @ 31 sccm SiD4 with 98% isotropic purity @ 36 sccm N/A N/A 0.01 ICP plasma @1000 W with 0 W RF bias power N/A N/A • Deuterosilane used to eliminate high H content in PECVD SiN films to reduce optical transmission loss from N–H bond overtone absorption from 1500 to 1550 nm. Chiles et al.36
                      • Deposition of high-quality deuterated SiN (SiN:D), significantly reduced effects of N–H bonds in S&C telecommunications bands.  
Roll-to-roll PE-CVD reactor Poly(ethylene tere-phthalate) (PET) web with 100 μm thicknes, 520 mm width, 500 M length <45 NH3 SiH4 Ar as diluent gas N/A <6.75 × 10−2 Microwave plasma @0.5–3KW and 2.46 GHz ∼50, ∼80, ∼100, ∼140, ∼150 for plasma power of 500, 1000, 1500, 2000, 2500, resp. N/A • Film composition changed from SiN0.81 for R = 2 to SiN0.93 for R = 9 Cho et al.23
      R (NH3/SiH4) flow ratio was varied from 2 to 9 @ 930 sccm total flow                
Standard thermal LP-CVD reactor Cz-Si (p-type) 830 NH3 dichloro-silane (SiH2Cl2) N/A N/A 0.3 None N/A • RTA @ 800 and 1200 °C for 3 min for films with no N implanta-tion. • One Si-rich and one N-rich triple layered structure were grown: SiO2/SiN0.9/SiO2 and SiO2/SiN1.4/SiO2. SiN1.33 also grown on Si for photoluminescence studies. Active SiN0.9 and SiN1.4 layers were 76 nm- and 149 nm-thick, respectively. Romanov et al.44
      (NH3 + SiH2Cl2) flow rate = 135 sccm           • RTA @ 800 and 1200 °C for 3 min for films with N implanted films • For luminescence studies of the triple layered structures, see Table I. Vlasukova et al.58
      R(SiH2Cl2/NH3)flow ratio was set @ 8/1 for Si-rich films and 1/8 for N-rich films             • Pre-implantation SiN1.33 films showed a decrease in luminescence intensity after 3 min anneal @ 800 °C. Annealing @ 1200 °C increased the luminescence intensity in the blue-green region.  
                    • N ion implantation of SiN1.33 completely quenchied luminescence, which was attributed to radiation damage.  
                    • Preliminary N implantation followed by annealing @1200 °C of SiN1.33 increased luminescence in the blue-green region.  
Standard PE-CVD reactor p-type (100) Si 350 NH3 SiH4 None N/A 0.5 RF @ 20 W 80 1100 °C in N2 for 35 min to form Si-NCs • Film composition range: 54% Si, 24.5% N, 21.5% H for R = 0.5 to 45% Si, 36% N, 19% H for R = 1.0. Torchynska et al.57
      R (NH3/SiH4) flow ratio was varied from 0.45 to 1.0 SiH4 flow rate kept constant @ 14 sccm             • Si-NCs were 3–6 nm.  
                      • Similar, and expanded, PL findings to45,51  
Standard LP-CVD reactor N/A @ 800 835 835 NH3 @ 17 22 140 sccm dichlorosilane (DCS, SiH3Cl2) @ 50 100 100 sccm None 3.93 nm min−1 to 4.44 nm min−1 0.14–0.15 None 225 nm None • Thermal deposition of SiNx proceeds as: 3SiH2Cl2 + 4NH3 → Si3N4 + 6HCl + 6H2, although film composition depends on reactants ratio. Yang et al.33
                      • LPCVD films were primarily Si rich, with Si/N ratio ranging from 1.11 to 1.12, except for the high NH3/DCS flow ratio of 140/100, which yielded a ratio of 0.97. (See also Table I)  
Standard PE-CVD reactor   300 (RF) NH3@10 sccm (RF) SiH4@12 sccm (RF)   20.41 nm min−1 (RF) 1 (RF) 13.56MHzRF @20 W     • RF plasma deposition of SiNx proceeds as: SiH4 + NH3 → SiNx:H + H2.  
    100 (ICP) N2@12 sccm (ICP) @13.5 sccm (ICP)   11.93 nm min−1 (ICP) 0.8 (ICP) or ICP @500 W     • ICP plasma deposition of SiNx proceeds as: 2SiH4 + N2 → 2SiN:H + 3H2.  
                      • PE-CVD films were Si rich, with Si/N ratio of 1.31 (RF) and 1.17 (ICP).  
Standard PE-CVD reactor p-Si 250 NH3 + N2 5% SiH4 in N2 @80 sccm N2 as diluent N/A 0.62 13.56MHzRF @20 W N/A a-SiNx films were then oxidized in situ in O2 plasma to form a-SiNx:Oy • Prior to post-deposition oxidation, N/Si ratio in a-SiNx was controlled by varying the R (NH3/SiH4) flow ratio Zhang, et al.59
  Quartz   NH3 varied @1,2,3,6, 10 and 20 sccm               • Highest absolute photoluminescence quantum yield (PL QY) from tunable luminescent a-SiNx:Oy of 8.38% was achieved @ PL peak energy of 2.55 eV.  
      N2 @80 sccm               • The PL QY was proportional to the Nx defect concentration, indicating that luminescent N–Si–O bonding states are the dominant factor in radiative recombination processes.  
      R (NH3/SiH4) flow ratio was varied from 0.3 to 5.0                
Standard PE-CVD reactor Polished p-type (100) mono-crystalline Float Zone wafers with 525 μm nominal thickness and ρ > 10000 Ω cm. 400 NH3 + N2 + SiH4 with R(SiH4/NH3) flow ratio set @ 0.25 and 0.50 for SiNx             400°C for 9 min • Two types of SiNx capping layers were grown: (A) @ R = 0.25 and (B) @ R = 0.50. Stokkan et al.42
                      • Higher R produces more Si-rich film with higher H content, improving passivation properties (approaching those of a-Si:H) but reducing dielectric constant.  
      N2O + N2 + SiH4 for SiNxOy           ∼13 nm   • For double-layer SiNxOy/SiNx stacks, a higher R was employed for SiNx due to excellent dielectric properties of SiNxOy itself. (see also Table I)  
                      • All 3 types of capping layers displayed very good passivation properties post annealing, with the double layer stack exhibiting best passivation, and yielding an effective lifetime near 20 ms.  
Standard PE-CVD reactor Rolled Cu   NH3 varied from 0 to 40 sccm in 10 sccm intervals SiH4 @ 25 sccm             • a-SiNx composition adjusted by changing NH3 flow rate, while thickness was controlled by managing deposition duration. Ulvestad et al.21
                      • 9 compositions were produced with x (N/Si) = 0.02, 0.38, 0.40, 0.59, 0.63, 0.79, 0.78, 0.87, and 0.91.  
                      • Based on thermodynamic considerations, the conversion reaction does not lead to the formation of non-ternary matrix components, such as Si3N4 and Li3N. Instead, the matrix consists of the ternary phase Li2SiN2. (see also Table I)  
Laser-assisted CVD reactor c-Si Display quality PET RT to 300 °C 1% NH3 in N2 0.95% SiH4 in N2 N/A N/A N/A ArF excimer laser w/pulse energy up to ∼10 mJ, pulse repetition frequency (PRF) up to 250 Hz @ 193 nm 29, 76, and 220 nm for PRF = 50, 100, and 250 Hz, respectively None • Gases delivered through a custom-made gas shower with holes arranged linearly along the laser path Kuk et al.35
      R(SiH4/NH3)flow ratio = 1/2         88 to 171 nm for (SiH4 + NH3) flow rates of 60 to 150 sccm   • 3 laser beam sizes tested: width and height of 2 × 1, 8 × 1, 8 × 4 mm.  
                      • Increasing beam width and height enhanced deposition efficiency.  
                      • Deposition rate of ∼11.3 nm s−1 constant with substrate T, with slight decrease to 10.6 nm s−1 @300 °C on c-Si.  
                      • Deposition characteristics on PET were similar to c-Si, except for faster oxidation process due to PET porosity. (see also Table I)  
Standard LP-CVD reactor Si(111) 800–1400 NH3 + H2 Pentachloro-disilane (PCDS, SiHCl3) Ar N/A 2.25 × 10−3 − 1.5 × 10−2 None 400–1500 None • Films were stoichiometric Si3N4 with no H except for two cases that yielded 0.8 and 5at% H. Cossou et al.60
  Dense and polished polycry-stalline graphite cylinders   Total flow Qtotal = 170–560 sccm           • Films were amorphous up to 1000 °C and crystalline alpha-phase Si3N4 at 1200 °C and above.  
      Flow ratio: QNH3/QSiHCl3 = 5              
      Dilution ratio (QH2 + QAr)/(QSiHCl3 + QNH3): 2–19              
Magnetic-mirror confined plasma source PE-CVD reactor (MPE-CVD) N/A RT-400 N2 + SiH4 N/A 0.18 Electron cyclotron resonance (ECR) using a 5.85-GHz microwave plasma @ 10 W N/A None • Nd–Fe–B permanent magnet used to produce the magnetic mirror field. Goto et al.39
      R(SiH4/NH3)flow ratio was varied from 0.08 to 0.12           • Films grown at RT exhibited a Si/N ratio ranging from 0.5 to ∼1.2 for R varying from 0.08 to 0.12.  
      or           • H concentration decreased with substrate T increasing from 200 °C–400 °C. (see also Table I)  
      N2 + SiH4 + H2 + Ar              
      Flow ratio = 2.5 + R + 5 + 1.2 sccm              
Standard LP-CVD reactor Si 780 NH3 dichlorosilane (DCS, SiH2Cl2)   N/A 0.3   150 nm N+ -implanted SiNx films annealed for 30 min in N2 at T in the range 450 to 850 °C. • Films exhibited a N/Si ratio ranging from 0.57 to ∼1.3 for R varying from 0.25 to 2.0. Further R increase does not lead to higher N/Si ratio, as film composition is close to stoichiometric. Fu et al.61
      NH3:DCS flow ratio was varied from 0.25 to 4.5           • The SiN1.3 films were then implanted with N+ and annealed and the Si substrate was etched off to form membranes that are used in microcapsules for transmission electron microscopy (TEM) imaging.  
Standard PE-CVD reactor 250 μm-thick B-doped polished float-zone (FZ) wafers with ρ = 100 Ωcm 400 NH3 SiH4 N2 (as carrier gas) N/A 0.75 13.56MHzRF @50 W 92 nm (R1)   • SiNx:H films with N/Si ratio of 0.4, 0.9, and 1.1 for R1, R2, and R3 studied. H effusion showed a clear correlation between the peak H effusion T and film composition. In particular, a shift was detected in maximum H effusion from 800 to 1000 °C between Si- and N-rich films. Jafari et al.62
      R1(SiH4:NH3)flow ratio = 45:5 (Si-rich films)       89 nm (R2)      
      R2(SiH4:NH3)flow ratio = 25:25 (SiN films)       90 nm (R3)      
      R3(SiH4:NH3)flow ratio = 5:45 (N-rich films)       94 nm stack (R1/R2/R3)      
      Total gas flow constant @1000 sccm              
Standard PE-CVD reactor Si (100) 350 NH3 @50 sccm SiH4@220 sccm   N/A 0.15 13.56MHzRF @60 W 100 nm None • Films were stoichiometric Si3N4. (see Table I for thermal conductivity values) Kim et al.32
Standard PE-CVD reactor 725 μm-thick, B-doped, Si with ρ = 10 Ωcm 380 N/A N/A N/A RF power set @600 W or 1700W for films with tensile or compressive stress, respect. 569–747 nm Nucleation anneals @ 650 °C for 4 h or 8 h in N2. Nucleation annealing was followed by a BMD test consisting of a precipitate oxide stabiliza-tion anneal @780 °C for 3 h in N2 and a growth anneal at 1000 °C for 16 h in N2. • All SiNx films were sub-stoichiometric for N content. Increased compressive stress led to higher N content, which saturated @48.4%. (see Table I for film stress values) Kissinger et al.31
liquid-source PE-CVD (LS PE-CVD) reactor Bare Si 80–200 N2 @ 100 sccm trisilylamine, flow@1.5–5 sccm     0.25 RF plasma power varied between 90 and 300 W 100 nm   • Film composition ranged from Si35.9N32.8 to Si29.9N41.5 as plasma power was increased from 90 W to 170 W @1.5 sccm precursor flow rate and 100 °C substrate temperature. H and O content were ∼26% and ∼4%, respectively. Kou et al.38
  3-μm-thick thermal SiO2               500 nm for wave-guide absorption spectrometry   • Film composition was Si31.6N47.1 for substrate temp., plasma power, and precursor flow rate set @ 200 °C, 170 W, and 1.5 sccm, respectively. H and O were ∼19.6% and 1.6%.  
                      • LS PE-CVD films had difficulty reaching a low propagation loss of 23 dB cm−1 @1550 nm due to the significant tradeoff between SiH and NH bonds. (see also Table I for refractive index)  
Standard PE-CVD reactor 280 μm-thick HEM multi-crystalline p-type Si 380 NH3 SiH4     1.7 RF plasma power set at 4.5 KW 80 nm @ 27 nm min−1 Two RTA regimes: • SiNx film composition was controlled by adjusting R (NH3/SiH4) flow ratio. Meziani et al.40
  ρ = 1 Ωcm   R (NH3/SiH4) flow ratio varied to control SiNx film composition         Series A @ 600, 700, 800, 900, 1000, 1100 °C in N2 atmo-sphere • Two compositions produced: N-rich SiN1.29:H (20%H) and Si-rich SiN0.66:H (47%H)  
                    Series B: 900 °C for 70, 90, 110, 130 s in N2 atmo-sphere • Films were annealed to form Si-NCs within the SiNx matrix with an average radius of 3–5 nm.  
                      • Crystallization of Si NCs was observed @ a relatively low temperature of 600700 °C due to the relatively large film thickness (see also Table I for refractive index values)  
Standard PE-CVD reactor with various shower head geome-tries N/A N/A Ar/N2 (both as carrier gas and co- reactant) hexamethyl-disilazane (HMDSN, C6H19NSi2)   N/A 0.15–0.35 13.56 MHz RF capacitively-coupled plasma (CCP) @ ∼300 W N/A N/A • 3 different plasma shower head configurations tested to control the generation of secondary electrons in the plasma: Sahu et al.64
      Ar gas flow kept constant @ 30 sccm               • Conventional CCP with shower head acting as RF power electrode (Type I); Showerhead consisting of 2 parallel electrodes: inner powered electrode and outer ground electrode with 5 mm air gap as the dielectric (Type II)  
      N2 flow varied to control operating pressure               • Showerhead with air gap is replaced by Al plate covered with thick anodized coating with holes aligned with and made concentric with showerhead holes (Type III)  
                      • Type I showerhead yielded Si-rich films. Types II and III produced N-rich films (N/Si ratio = 2)  
                      • The Si–N bond concentration increased from ∼1 × 1022 cm−3 to ∼3.5 × 1022 cm−3 from Type I to Type III showerhead, while N–H and Si–H bond concentrations exhibited modest increase from ∼0.2 × 1022 cm−3 to ∼0.3 × 1022 cm−3.  
                      • N and H concentrations increased from ∼0.45 × 1022 cm−3 and ∼0.3 × 1022 cm−3 to ∼1.3 × 1022 cm−3 and ∼0.6 × 1022 cm−3 when showerhead configuration was changed from Type I to Type III.  
                      • SEM indicated that film morphology was dense and independent of showerhead type.  
Standard PE-CVD reactor p-type (100) double-side polished Czochra-lski (CZ) Si 300 NH3   SiH4 N/A 0.2 13.56 MHz RF @20 W 70 nm (as-deposited) RTA anneal in N2 in T-range of 350 °C–800 °C for 1530 s • N–H and Si–H bond densities were ∼2.2 × 1022 cm−3 and ∼1.2 × 1022 cm−3 for as-deposited films. They decreased from ∼1.65 × 1022 cm−3 and ∼1.2 × 1022 cm−3 down to ∼1.2 × 1022 cm−3 and ∼0.1 × 1022 cm−3 with annealing T increase from 350 to 800 °C. Wang et al.41
      NH3:SiH4 flow ratio 3:1           • The Si–N bond density decreased from ∼1.9 × 1022 cm−3 for the as-deposited films down to ∼1.4 × 1022 cm−3 after annealing @400 °C, then gradually increased annealing temperature reaching ∼1.9 × 1022 cm−3 again after annealing @ 800 °C.  
                  • Effective carrier lifetime significantly improved after low T annealing @400 °C–450 °C. The improvement was attributed to observed release of H from the films, as documented by drop in N– H and Si– H bond densities and associated decrease in interfacial density of states at the SiNx/Si interface.  

Appendix C.: Summary of ALD SiNx Processing Parameters, Post Processing Treatments, and Key Results

Reactor Type Substrate Type Subs. T (°C) Si source (Vapor Pressure/Pulse Duration) N source (flow Rate/Pulse Duration) Purge gas (Pulse Duration) Plasma type Working pressure (torr) Film thick. (nm) Pertinent details References
Thermal ALD reactor OH-terminated oxidized Si(111) pre-treated with trimethy-aluminum (TMA) pulse prior to ALD 250 DSBAS (440mtorr @50 °C) Dosed for 3 s then kept inside reactor for 10 s by seizing Pumping) Hydrazine (N2H4) (N/A) Dosed for 5 s then kept inside reactor for 60 s by seizing pumping) N2 (sec) 150 None N/A Limited to 1 nm • TMA pulse was applied to the OH-terminated oxide surface to pre-treat the OH-terminated oxidized Si(111) with an Al monolayer prior to deposition. The Al monolayer was applied to catalyze the reaction of N2H4 with -SiH3 surface sites due to lack of reactivity of N2H4 with untreated Si–O–SiH3 surface. However, the SiNx film growth was limited to 1 nm. The TMA pulse was therefore repeated after every specific set of DSBAS/N2H4 cycles to deposit another Al monolayer to enable the growth of a thicker SiNx film. Most likely reactivity pathway is the insertion of N into the Al-Si bonds (see Appendix A for modeling studies) Dangerfield et al.66
                After 1 TMA pulse.    
                1.4 nm if the TMA pulse is repeated after a number of DSBAS/N2H4 cycles    
Thermal ALD reactor Epitaxial p-type Si0.7 Ge0.3 (001) and Si0.5 Ge0.5 (001) on Si(001) 285 Hexachlorodisilane (Si2Cl6) Dosed @0.1torr for 135 s Hydrazine (N2H4) v.p. 15 torr @ 25 °C None (reactor pumped down to 5 × 10−5 torr for 85 s) None N/A 0.6–1 nm • Pre-deposition, substrates were dosed with 400 ml N2H4 vapor at 285 °C to terminate the surface with NHx groups. Edmonds et al.67
                  • Post-deposition, samples were transferred to a dosing chamber under vacuum and heated to 285 °C for 15 min According to the authors, the samples were dosed with 1800 l of atomic H to remove residual Cl in the SiNx film through the formation of an HCl desorption byproduct.  
                  • Films were a SiOxNy phase, with Ge–N bonds localized to the SiOxNy/SiGe interface.  
                  • SiOxNy acted as good barrier preventing Ge out-diffusion.  
PE-ALD reactor p-type, 300-mm Si(100) wafers 300, 350, 400, 450 Trimethylsilane [3MS, (CH3)3SiH] + He as carrier NH3 @ 100 sccm He 13.56 MHz RF plasma (50, 100, 150 W) 3.2 ∼28 nm • PE-CVD SiNx films used as baseline for comparative assessment of ALD SiNx Kim et al.17
      50, 100, and 300 sccm @ 2 s and 5 s           • ALD SiNx film composition evolved from Si rich to stoichiometric Si3N4 with higher NH3 gas flow and plasma power  
                  • Barrier properties against Cu diffusion were equivalent for ALD and PE-CVD films, even though ALD films exhibited a lower density  
PE-CVD reactor   400 SiH4 + N2 NH3 N/A 13.56 MHz RF plasma (1500 W) 2.4 N/A    
PE-ALD reactor ZnSe internal reflection crystal (IRC) 350 (SiH4) SiH4 @ 2 sccm NH3 @ 10 sccm Ar @ 198 sccm 13.56 MHz RF@100 W 0.1 (SiH4) N/A Three processes for the formation of C-containing SiNx films were tested. None of them yielded C-containing SiNx films: Ovanesyan et al.69
  ZnSe IRC 475 (SiCl2(CH3)2) SiCl2(CH3)2 vial fill (fill time 5 s) and release (release time 6 s) approach Ar/NH3 @ 60 sccm @15 s Ar @100 sccm @45 s for precursor @15 s for co-reactants   0.4 (SiCl2 (CH3)2)   I. A first 2-step ALD process for C-containing SiNx films: PE-ALD SiNx, followed by a SiCl2(CH3)2 step.  
                  SiCl2(CH3)2 interacted with –NHx (x = 1, 2) terminated surface formed by NH3 plasma exposure. –CH3 groups are removed from precursor during its adsorption step leading to little C concentration in SiNx films.  
  ZnSe IRC 200 (SiH4) SiH4 @ 2 sccm N/A Ar @ 198 sccm 13.56 MHz Ar RF plasma @10 W 0.2 (SiH4)   II. A first 3-step ALD process for C-containing SiNx films: (1) PE-ALD SiNx as above; (2)-SiHx (x.1, 2, 3) terminated surface formed by using a similar process to PE-ALD DSBAS by growing a PECVD a -Si:H film @ 200 °C; (3)a -Si:H exposed to CH3I @400 °C.  
    400 (CH3I) CH3I @ 4 s pulse       1 (CH3I)   • It was observed that CH3I interacts with surface –SiHx (x = 1, 2, 3) to form surface –SiIx (x = 1, 2, 3) groups with release of CH3.  
  ZnSe IRC 350 (Si2Cl6) Si2Cl6 Ar/NH3 @ 60 sccm Ar @ 198 sccm 13.56 MHz Ar/NH3 RF plasma @10 W 2 (Si2Cl6)   III. A second 3-step ALD process for C-containing SiNx films: (1) PE-ALD SiNx as above; (2) PECVD Si2Cl6;.(3) exposure to Al(CH3)3 prior to the Ar/NH3 step.  
    320 (Al (CH3)3) Al(CH3)3       1.5 (Al (CH3)3)   • Al(CH3)3 interacts with Cl-terminated Si surface to form–SiCH3 species. Residual Al stays on the surface as Al(CH3)x (x = 1, 2) groups that are not entirely released as reaction byproducts in the form of Al(CH3 )x Cl3-x (x = 1, 2).  
PE-ALD reactor ZnSe IRC 350 99% Ar/1% SiH4 @ 100 sccm NH3 @ 5 sccm Ar @ 198 sccm 13.56 MHz NH3 RF plasma @100 W 0.3 N/A • SiCxNy films were N-rich with Si, C, N, and H compositions of 30.8%, 3.7%, 46.1%, and 19%, respectively.  
    400 Si2Cl6 @ 55 °C @ 20 s CH3NH2 @ 60 s Ar @ 30 s (after Si2Cl6) 13.56 MHz CH3NH2 RF plasma@ 0.15      
          @90 s (after CH3NH2)          
Remote plasma ALD Reactor (RP-ALD) p-type Si (100) 100–500 bis(dimethyl-amino-methylsilyl)-trimethylsilyl amine (DTDN2-H2) N2 @6 s Ar (400 sccm) @30 s for precursor @10 s for N2 13.56 MHz RF plasma (300–1000 W) 0.4 0.038 ± 0.002 nm/cycle • Optimum process window was 250 °C–400 °C. Jang et al.25
      1.6torr @ 70 °C @2 s           • SiNx grown <350 °C oxidized upon exposure to air due to low film density resulting from low deposition temperature.  
                  • Stoichiometric SiN1.35 was grown @400 °C with ∼5% O.  
                  Etch resistance and leakage current performance improved with higher plasma power, with films grown@400 °C with 1000 W exhibiting sufficient etch resistance and excellent leakage current properties.  
                  • 80% step coverage achieved in 100 nm wide trenches with 4.5:1 aspect ratio.  
Hollow cathode remote PE-ALD reactor p-type Si (100) 270360 Pentachlorodisilane (PCDS, HSi2Cl5) @25 °C @1 s NH3 or N2/10%H2 plasma @ 15 s N2 or Ar plasma purge @30 s (inert gas kept @constant flow) 13.56 MHz RF plasma (100–200 W) 0.3–0.4 For PCDS: increased from 0.07 nm/cycle @5 s plasma exposure time to 0.1 nm/cycle @45 s plasma exposure time • Prior to deposition, surface nitridation performed by pretreating Si surface with NH3 plasma to form a 2 nm-thick nitride layer. Meng et al.18
      Hexachlorodisilane (HCDS, Si2Cl6) @1 s         For HCDS: increased from 0.05 nm/cycle @5 s plasma exposure time to 0.8 nm/cycle @45 s plasma exposure time • SiNx grown @360 °C from PCDS had low O (∼2 at.%) and (<1 at. %) Cl content.  
                  • Surface reaction pathways for SiNx PEALD from PCDS and NH3 or N2/10%H2: (i) pre-deposition plasma nitridation yields substrate surface terminated with amine groups (−NH2 and −NH−); (ii) in PCDS cycle, PCDS then reacts preferably with −NH2 group then chemisorbs on substrate surface, releasing either H2 or HCl; (iii) in plasma cycle, Cl ligands and the H atoms from adsorbed PCDS are cleaved by plasma reactive species restoring surface termination with reactive amine groups; (iv) −NH− groups are then embedded in SiNx as primary source of H bonds. (see Appendix A for modeling studies)  
PE-ALD reactor N/A 200–500 1,3-di-isopropyl-amino-2,4-dimethyl-cyclosilazane (CSN-2) @60 °C using N2 carrier gas @50 sccm @5 s NH3/N2 (50 sccm/6000 sccm) N2 @ 60 s 27.12 MHz RF plasma @75 W 2.7 0.043 nm/cycle • (CSN-2) was selected because its' ring structure leads to good thermal stability (up to 450 °C) and high reactivity. Park et al.27
        N2 (6000 sccm)         • 3-step PE-ALD process employed to improve film quality and step coverage on the lower sidewall: (i) CSN-2 pulsing step (ii) NH3/N2 plasma step to allow H radicals to remove CSN-2 ligands; and (iii) N2 plasma step to remove surface H and activate precursor adsorption.  
                  • Highest quality SiN1.0 achieved @500 °C, with H ∼7at% and O <2at%. (see Appendix A for modeling studies)  
PE-ALD reactor Atomically flat mono-hydrideterminated Si(111) (H-Si(111)) 100–200 Aminosilanes N/A N/A ICP plasma source @ 175 W and 275 W N/A N/A • Simple Si model surfaces employed and either Ar or N2 plasma to distinguish plasma-induced effects (sputtering) from surface chemical processes (direct nitridation). Peña et al.70
UHV cluster system OH-terminated Si(111)   bis(t-butylamino) silane (BTBAS)           • BTBAS employed to study SiNx PE-ALD growth, while DSBAS was used to examine reaction pathways and mechanisms induced by plasma phenomena.  
  HF-etched Si3N4   di-s-butylamino-silane (DSBAS)           • UHV studies of atomically flat, H-terminated Si(111) surfaces: The Ar plasma untreated surface remained unreactive to DSBAS after an exposure to 10torr for 10 min, ideal for examining the effect of Ar plasma on subsequent exposure to DSBAS. After Ar plasma exposure, DSBAS reacted with the treated Si surface, with complete removal of remaining Si–H bonds. It was determined that Ar plasma caused desorption of H atoms from the H-Si surface, leaving Si dangling bonds that enable the reaction of DSBAS on the Ar plasma-treated surface.  
                  • N2 Plasma treatment on H−Si(111)- Power and Temperature Effect: It was determined that a 5 min exposure to N2 plasma leads to surface saturation, both in terms of nitride layer thickness and N incorporation.  
                  • PE-ALD of SiNx from BTBAS on N2 Plasma-Treated HSi(111): Investigations at 100 and 200 °C substrate temperature and 175 and 275 W plasma power indicate that the SiNx phase with the least C, O, and H inclusion is achieved at 200 °C and 175 W. Lower substrate T leads to C contamination, while higher plasma power leads to increased O inclusion.  
                  • PE-ALD of SiNx from DSBAS on HSi(111): Like BTBAS, DSBAS did not react with a fully H-terminated Si surface up to 300 °C. This implies that formation of reactive site alone is not sufficient, and the presence of neighboring H (Si−H) is needed to release the amino ligand and chemisorb −SiH3 on active sites (probably Si dangling bonds or undercoordinated N).  
Thermal ALD and PE-ALD A brief discussion of thermal and PE-ALD SiNx precursors, including chlorosilanes, organosilanes, and heterosilanes. (see Table VI for precursor properties) Lucero et al.71
Standard PE-ALD reactor p-type Si (100) r = 3−10 Ω·cm) N/A N2 + NH3 @ or Ar+NH3 Hexachloro-disilane (HCDS) @ 20 °C N2 or Ar N/A 0.2 Hollow cathode plasma source • Prior to deposition, surface nitridation performed by pretreating H-terminated Si surface with NH3 plasma. Kim et al.24
                  • Film composition was Si0.49 N0.48 with ∼3at% O at 360 °C with a N2/NH3 plasma flow ratio of 30/90.  
                  • H reduction in films achieved by either thermal activation increasing substrate temperature) or higher plasma power density.  
Remote plasma ALD reactor (RP-ALD) p-type Si (111) 100–600 1,3-di-isopropyl-amino-2,4-dimethyl-cyclosilazane (CS-2) 1 plasma pulse: N2 @ 20 s Ar 700 sccm @ 30 s 13.56 MHz ICP plasma source @ 100 W   0.038 nm/cycle in deposition T range of 200 °C–500 °C • PE-ALD of SiNx from aminosilane and NH3 plasma produces low growth rate because the H- and NHx-terminated surface is not undercoordinated, preventing precursor adsorption. Alternatively, N2 plasma can produce reactive undercoordinated bare surface sites. As a result, PE-ALD using aminosilane and N2 plasma leads to reasonable growth rates. Cho et al.28
      (4.04 torr at 60 °C) 2 plasma pulse: H2 @ 10 20, or 30 s then N2 @ 30 s         • N radicals exhibit an exceptionally short lifetime vs H radicals O radicals. As a result, recombination loss occurs and ligands are not completely removed from the adsorbed precursor, leading to deterioration in film quality. The introduction of the H2 plasma prior to the N2 plasma leads to efficient ligand removal from substrate surface due to the long lifetime of the H radicals.  
      Ar carrier gas @ 50 sccm 3 s pulse           2-step RP-ALD: (i) CSN-2 pulsing step, then (ii) N2 plasma pulsing step.  
                  3-step RP-ALD: (i) CSN-2 pulsing step (ii) H2 plasma pulsing step, then (iii) N2 plasma pulsing step. Stoichiometric Si3N4 achieved between 250 and 500 °C, with C and O content decreasing from ∼4at% and 10at% to ∼0at% and ∼2at%, respectively.  
PE-ALD reactor ∼200–450 (100) single crystal GaAs SiH4 Pulse varied from 1–10 s as fct of substrate Temp. NH3 Pulse varied from 1–10 s as fct of Temp.       ∼20 nm • Above 300 °C, growth rate increased to 0.5 nm/cycle, attributed to participation of hydrazine (N2H4) in film growth process. Ezhovskiia et al.72
Thermal and PE-ALD reactors A review of thermal and PE-ALD of SiNx, including: Ovanesyan et al.73
  • Assessment of state-of-the-art in SiNx film quality and reliability, including that low-T ALD SiNx remains a problem, particularly due to the lack of a reactive N source that enables deposition at <400 °C, which led primarily to the use of N2 and NH3 plasmas.  
  • Discussion of Si precursor classes, including chlorosilanes, silylamines, and aminosilanes, and their applicability in thermal or PE-ALD SiNx.  
  • Description of the underlying mechanisms in the growth of SiNx from thermal ALD of SiCl4 and NH3. Substrate exposure to NH3 plasma pulse forms -NHx (x = 1,2) species, which in turn react with SiCl4 during the Si precursor pulse to generate -SiClx (x = 1, 2, 3) species as one or more Cl ligands are removed through the formation of HCl as volatile reaction by-product. The latter may remain on the surface or desorb and is removed from the reaction zone. The follow up thermal NH3 pulse leads to reaction with -SiClx (x = 1, 2, 3) leading to the formation of -NHx (x = 1, 2) terminated surface. HCl is discharged again as a gaseous reaction by-product. Similar surface species are observed when an NH3 plasma is applied, although the reaction is expected to be quite different due to the presence of reactive radicals in the plasma. The release of HCl is obviously a problem. The incorporation of H in the growing film also presents another issue in the case of PE-ALD, with up to 20% H incorporated, mainly in the form of -NH not SiHx.  
  • Discussion of the use of silylamines, such as TSA [N(SiH3)3] as more reactive source precursors to reduce H concentration and lower SiNx growth temperature. In this case, the reaction mechanisms in PE-ALD using either NH3 or N2 involves the reaction of TSA with -NHx (x = 1, 2) surface entities to form = NSiH3-terminated surface with SiH4 released as reaction by-product. In the follow-up NH3 plasma pulse, the >NSiH3 surface species are eliminated and surface is returned to -NHx (x = 1, 2) coverage, thus enabling the ALD process to continue.  
  • Analysis of the application of aminosilanes (e.g. BDEAS and DSBAS) as source precursors given their even higher reactivity than silylamines. However, it was reported that the use of NH3 plasma with aminosilanes does not yield SiNx, only N2 plasma, a phenomenon which is not fully elucidated. In the case of N2 plasma, the aminosilanes reaction proceed in a fashion similar to silylamines, except for the concurrent presence of amine ligands on the substrate surface. The latter is function of the number of amine ligands in the parent Si molecule. The use of N2 plasma is conducive to the deposition of SiNx films that have very low concentrations of H.  

Appendix D.: Summary of SiNx Sputtering Parameters

Reactor type Subs. type Subs. T (°C) Sputtering gas Length of deposit. Working pressure (torr) Plasma power density (W cm−2) Film thick. (nm) Pertinent details References
      Ar (sccm) N2 (sccm)            
RF Magnetron Sputtering Reactor Glass/SiNx/NiCr/Au/SiNx stacks RT 180 52 N/A 1 × 10−2 100 KHz 5.4 30 • SiNx film porosity was characterized by electrochemical impedance spectroscopy (EIS). Barrès et al.74
                  • EIS and TEM indicated the existence of nanopores with a 0.5–2.0 nm diameter.  
RF Magnetron Sputtering Reactor Double-sided polished Si RT Mixture of Ar @80 + N2 @5 (Si target) 30, 45, 90 min 7.5 × 10−3 N/A ∼111:30 min ∼167:45 min ∼323:90 min • Films were non-stoichiometric SiNx. Vohánka et al.75
                • Films exhibited a mixture of three types of defects: optical inhomogeneity (as determined by refractive index profile across the films); uniaxial anisotropy with a perpendicular optical axis to the boundaries; random roughness of the upper boundaries.  
Please wait… references are loading.