Table of contents

Volume 471

2013

Previous issue Next issue

18th Microscopy of Semiconducting Materials Conference (MSM XVIII) 7–11 April 2013, Oxford, UK

Accepted papers received: 16 October 2013
Published online: 29 November 2013

Preface

011001
The following article is Open access

and

This volume contains invited and contributed papers from the 18th international conference on 'Microscopy of Semiconducting Materials' held at St Catherine's College, University of Oxford, on 7–11 April 2013. The meeting was organised under the auspices of the Royal Microscopical Society and supported by the Institute of Physics as well as the Materials Research Society of the USA.

This conference series deals with recent advances in semiconductor studies carried out by all forms of microscopy, with an emphasis on electron microscopy and scanning probe microscopy with high spatial resolution. This time the meeting was attended by 109 delegates from 17 countries world-wide. We were welcomed by Professor Sir Peter Hirsch, who noted that this was the first of these conferences where Professor Tony Cullis was unable to attend, owing to ill-health. During the meeting a card containing greetings from many of Tony's friends and colleagues was signed, and duly sent to Tony afterwards.

As semiconductor devices shrink further new routes for device processing and characterisation need to be developed, and, for the latter, methods that offer sub-nanometre spatial resolution are particularly valuable. The various forms of imaging, diffraction and spectroscopy available in modern microscopes are powerful tools for studying the microstructure, electronic structure, chemistry and also electric fields in semiconducting materials. Recent advances in instrumentation, from lens aberration correction in both TEM and STEM instruments, to the development of a wide range of scanning probe techniques, as well as new methods of signal quantification have been presented at this conference. Two topics that have at this meeting again highlighted the interesting contributions of aberration corrected transmission electron microscopy were:

  • contrast quantification of annular dark-field STEM images in terms of chemical composition (Z-contrast), sample thickness and strain, and

  • the study of dislocation core structures at atomic resolution.

Figure 1. Conference photo taken by JLH inside St Catherine's College

Each of the 49 manuscripts submitted for publication in this proceedings volume has been independently reviewed, most by two reviewers, and revised where necessary before being accepted for publication. The Editors are grateful to the following colleagues for their rapid and careful reviewing of manuscripts: R Beanland, C B Boothroyd, P D Brown, D Cherns, A J Craven, K Durose, C J Humphreys, U Kaiser, L Lari, G A Moebus, A G Norman, P Pecz, I M Ross, D J Smith and K Tillmann.

Poster prizes were awarded to the following students: joint first prizes to Robert Schewski, Leibniz-Institut für Kristallzüchtung, Berlin and Xiaowei Wu, Rensselaer Polytechnic Institute, Troy; and joint second prizes to Tyche Perkisas, University of Antwerp and Adi Pantzer, Ben Gurion University of the Negev. These presentations indicated both a broad range of microscopy techniques and materials issues covered as well as the excellent standard of microscopy now being achieved by younger scientists. They also reflected the internationality of the attending scientists.

On the evening of 9 April 2013, the RMS Annual Materials Lecture was delivered by Professor Sir Colin J Humphreys from the University of Cambridge. Colin's highly entertaining talk on 'How microscopy and semiconductors can help to solve some major world problems' spanned a very broad range, from detailed atomistic investigation of lattice defects in gallium nitride layers by transmission electron microscopy, to the production of new light emitting diodes and its impact on reducing both our electricity bills and the effect of global warming.

Entertainment during the conference dinner was provided by the Ariella String Trio, and during the meal we held a friendly competition in which teams had to recognise invited speakers and organisers from photos of them as babies or young children.

The organisers are very grateful to the following companies who contributed to the success of the meeting by presenting trade stands on 9 and 10 April: Agar Scientific, Fischione, Gatan, ISS Group Services and Leica Microsystems.

St Catherine's College is thanked for provision of excellent accommodation, superb lecture facilities and the very friendly catering staff who served food and drink during the days. There was even a compliment from some of our French visitors for the cheese board during the conference dinner!

Finally, we would like to thank the staff of the Royal Microscopical Society for their expert help in planning and support of this conference – in particular Victoria Masters and her assistant Alice Pyper for their dedicated professional support and always joyful approach to any issues that arose.

September 2013 Thomas Walther John L Hutchison

011002
The following article is Open access

All papers published in this volume of Journal of Physics: Conference Series have been peer reviewed through processes administered by the proceedings Editors. Reviews were conducted by expert referees to the professional and scientific standards expected of a proceedings journal published by IOP Publishing.

011003
The following article is Open access

The PDF contains an author index.

011004
The following article is Open access

The PDF contains the subject index.

Invited papers

012001
The following article is Open access

, , , , , and

Spherical aberration (Cs) correctors were demonstrated in the last years of the twentieth century and became commercially available a few years later. In Grenoble, we received our first probe corrector on a TEM/STEM machine in 2006. Cs-correctors have allowed us to improve the spatial resolution and the contrast of high resolution images both in TEM and STEM. The aim of the article is not to give a detailed description of Cs-correctors or a thorough analysis of their pros and cons but to illustrate what the benefits of the Cs-correctors have been in four areas: (i) atomic structure determination, (ii) polarity measurement, (iii) strain determination and (iv) interface analysis. Emphasis is put on the probe corrector although some comments on image correctors are given as well.

012002
The following article is Open access

The dislocation velocities and mechanical strength of bulk crystals of SixGe1−x alloys grown by the Czochralski method have been investigated by the etch pit technique and compressive deformation tests, respectively. Velocity of dislocations in the SiGe alloys of the composition range 0.004 < x < 0.08 decreases monotonically with an increase in Si content at temperature 450–700°C and under stress 3–24MPa. In contrast, velocity of dislocations in the composition range 0.92 < x < 1 first increases, then decreases and again increases with a decrease in Si content at temperature 750-850°C and under stress 3–30MPa. The velocity of dislocations was quantitatively evaluated as functions of stress and temperature. Stress-strain behaviour in the yield region of the SiGe alloys of composition 0 < x < 0.4 is similar to that of Ge at temperatures lower than about 600°C. However, the yield stress becomes temperature-insensitive at high temperatures and increases with increasing Si content. The stress-strain curves of the SiGe alloys of composition 0.95 < x < 1 are similar to those of pure Si at temperatures 800–1000°C and the yield stress increases with decreasing Si content down to x = 0.95. The yield stress of the SiGe alloys is dependent on the composition, being proportional to x(1−x), showing a maximum around x ≈ 0.5. Built-in stress fields related to local fluctuation of the alloy composition and the dynamic development of a solute atmosphere around the dislocations, may suppress the activities of dislocations and lead to the hardening of SiGe alloys.

012003
The following article is Open access

, , , and

Two-dimensional (2D) boron nitride (BN) nanosheets, the rising material stars, have unique properties and amazing functionalities. The concise history of diverse synthesis routes of BN nanosheets is briefly summarized here; and the recent development towards mass production of BN nanosheets, i.e. "chemical blowing" technique relying on blowing molten polymer precursors into large polymeric bubbles and subsequent annealing into BN bubbles/nanosheets, is focused on. The abundant BN nanosheets enable their applications, especially in the representative polymeric composites with BN nanosheet additives, as particularly highlighted in this review. The highly thermoconductive insulating BN-filled composites are thus envisaged as high-performance packaging materials for electrical circuits.

012004
The following article is Open access

, , , , , , , , , et al

We present the different approaches we recently followed to achieve intense room temperature photoluminescence (PL) from Si-based materials. On one side we obtained sub-bandgap PL from H-related defects induced by the H2 plasma treatment of Si photonic crystal (PhC) nanocavities. We demonstrated that a strong and narrow PL emission can be obtained in the PhC nanocavities due to the formation of a damaged layer mainly consisting of nanometric platelets and bubbles. An overall 40000-fold enhancement of the PL signal, with respect to pure crystalline Si, has been achieved and moreover the signal can be tuned in a wide range by only changing the PhC parameters. On the other side, we focused our attention on the properties of SiO2 and SiOC host matrices doped with Eu ions. C addition produces a strong enhancement of the Eu PL with respect to pure SiO2 films. The chemical and structural characterization of these materials reveals an extensive Eu clustering in SiO2-based films, while C addition induces a significant reduction of this phenomenon, enhancing the fraction of optically active Eu ions. These results can be applied for the realization of efficient Si-based light sources.

012005
The following article is Open access

, , , , and

This paper provides an overview of our recent atomic-scale studies of semiconductor heterostructures, based primarily on combinations of zincblende compound materials grown by molecular beam epitaxy. Interfacial strain due to lattice mismatch inevitably causes growth defects to be introduced. Analysis of defect type and distribution using image filtering allows residual strain to be estimated. Exploratory investigations using aberration-corrected electron microscopy, which enables individual atomic columns to be resolved, are also described.

012006
The following article is Open access

, , , and

The occurrence of single variant CuPtB ordering during growth of InGaP graded buffer layer structures on offcut (001) GaAs substrates for inverted metamorphic solar cells is found to have a strong influence on strain relaxation mechanisms. Since the surface-induced CuPtB ordering is metastable in the bulk of the material, a strong preference is observed for the nucleation and glide of 60° type misfit dislocations with Burgers vectors that introduce an antiphase boundary into the ordered structure. This results in an overall epitaxial layer tilt in the opposite sense to that normally observed for the direction of substrate offcut. Furthermore, in InGaP buffer layers graded to InP, a switch in the dislocation glide plane preference back to that normally observed for the direction of substrate offcut is observed as the degree of atomic ordering falls below a critical value. This results in the nucleation and glide of new misfit dislocations resulting in an increase in the threading dislocation density that is found to have a deleterious effect on device efficiency. Understanding the materials science behind this behavior will enable the engineering of more effective, lower threading dislocation density strain relief buffer layers resulting in improved performance of subsequently grown devices.

012007
The following article is Open access

, , , , and

We have proposed a method to achieve near-field imaging spectroscopy of single semiconductor quantum dots with high sensitivity by using an optical mask layer of a phase-change material. Sequential formation and elimination of an amorphous aperture allows imaging spectroscopy with high spatial resolution and high collection efficiency. We present numerical simulation and experimental result that show the effectiveness of this technique. Inspired by this optical mask effect, a new approach which can precisely control the emission energy of semiconductor quantum dots has been proposed. This method uses the volume expansion of a phase change material upon amorphization, which allows reversible emission energy tuning of quantum dots. A photoluminescence spectroscopy of single quantum dots and simulation were conducted to demonstrate and further explore the feasibility of this method.

012008
The following article is Open access

, , , and

Transmission electron microscopy of cross-section specimens and high-resolution X-ray diffraction analyses have been applied to investigate the formation of defects and the relaxation of layer strain in step-graded GaPxAs1−x and GaNyAs1−y buffer layer systems grown by metal-organic vapour phase epitaxy on GaAs (001) substrates with 6° miscut towards (111)A. The investigations have been complemented by characterization of the layer surfaces employing optical microscopy. The comparison of the different buffer concepts reveals characteristic differences in the formation of defects and in the relaxation of tensile layer strain. For GaPAs layers dislocations and microtwins form, releasing the major part of the tensile misfit strain. In contrast, for GaNAs dislocations and microtwins are largely absent, at least in the upper part of the buffer structure, and microcracks are generated. Consequently, during subsequent growth of layers with tensile strain, strain relaxation and defect formation can be effectively hindered by introducing intermediate GaNyAs1−y layers with concentrations y > 2 % into a GaAs1−xPx buffer structure [1]. A similar concept can be used for layer systems with compressive strain, however, modified by using layers of differing alloy composition. The use of dilute nitride layers appears to offer a new concept for engineering defect distributions and layer strain in lattice-mismatched compound semiconductor layer structures. Such concepts are of particular interest not only but especially also for applications in high-efficiency III-V solar cells.

Session A: Analytical electron microscopy

012009
The following article is Open access

, , , , , , , , and

In this contribution, the indium concentration profile of an InxGa1−xN/GaN five-fold multi quantum well structure is measured from high-angle annular dark field scanning transmission electron microscopy (HAADF-STEM) images. The results are compared with an atom probe tomography study. Indium concentrations in the range of 26 at.% to 33 at.% are measured in the centre of the quantum wells. An additional indium layer of 14 at.% has been found on top of the quantum wells. In the second part, the temperature dependence of measured intensities in GaN is investigated. Here, multislice calculations in the frozen lattice approximation are carried out in dependence of specimen thickness and compared to experimental data. An increase of intensity with specimen temperature is found.

012010
The following article is Open access

, , , , , , and

In this work we investigate the indium content in In1−xGaxAs narrow trenches on Si by transmission electron microscopy (TEM), energy dispersive spectroscopy (EDS) and nano beam diffraction (NBD). We find a higher indium content in wider trenches and by scanning a trench from bottom to top we observe an increase of indium up to a maximum value close to the level of the surface of the shallow trench isolation oxide.

012011
The following article is Open access

, , , , , and

High-angle annular dark field scanning transmission electron microscopy has been successfully used for composition evaluation in various material systems. In this work, the quantitative applicability of this method to GeSi/Si heterostructures was studied. Reference images were simulated by frozen lattice multislice simulations for different Ge concentrations accounting for static atomic displacements and biaxial strain due to pseudomorphic growth. Specimen thickness and composition are obtained by comparison of simulated and normalised experimental intensities. The measured thickness of a pure Si wedge specimen is compared to thickness determined from Pendellösung fringes in dark field micrographs. The deviation is below 10 nm coinciding with the accuracy of prior works. The composition of a GeSi-layer structure was measured in a calibration sample of known concentration and good agreement is found. Two-dimensional concentration maps of a GeSi/Si transistor structure were created. Measured concentrations agree with nominal values. However, strain fields in the Si lead to a variation of the image intensity causing an artificial fluctuation of the measured concentrations of ±4%.

012012
The following article is Open access

, , , , , and

As an alternative to Core-Loss Electron Energy Loss Spectroscopy, Low-Loss EELS is suitable for compositional analysis of complex heterostructures, such as the InAs-GaAs-GaSb system, since in this energy range the edges corresponding to these elements are better defined than in Core-Loss. Furthermore, the analysis of the bulk plasmon peak, which is present in this energy range, also provides information about the composition. In this work, compositional information in an InAs-GaAs-GaSb heterostructure has been obtained from Low-Loss EEL spectra.

Session B: Lattice defects in bulk materials

012013
The following article is Open access

, , , , , and

Plastic deformation of a 4H-SiC wafer has been produced by nanoindentation at room temperature. The superficial layer of the specimen in the indented area has been lifted out thanks to a specific focussed ion beam micromachining method and the deformation microstructure close to the imprints has been investigated by means of both conventional and high-resolution transmission electron microscopy (TEM). The analysis of the images revealed the presence of various types of extended defects. Perfect dislocations and single stacking faults bounded by isolated Shockley partial dislocations have been observed on the basal plane. Perfect dislocations have also been evidenced out of the basal plane. These results highlight the competition between various activated systems involved during the plastic deformation of 4H-SiC in the brittle regime.

012014
The following article is Open access

, , , , , and

Aberration corrected STEM EELS is used to investigate point defects in Cu2ZnSnS4 (CZTS). Nano-scale clusters of ZnCu anti-site donors are observed with the donor concentration being sufficiently high to degenerately dope the semiconductor. Uncompensated donors and acceptors result in electrostatic potential fluctuations within the material. The effect of these potential fluctuations on the photovoltaic device properties is discussed.

Session C: Poly- and nanocrystalline materials

012015
The following article is Open access

, , and

The structure of 40 nm thick epitaxial ZnO layers grown on single crystalline sapphire and GaN substrates by atomic layer deposition has been studied using transmission electron microscopy. The growth is carried out between 150°C and 300°C without any buffer layer using di-ethyl zinc and water precursors. The ZnO layer on sapphire is found to be polycrystalline, which is probably due to the large misfit (~15 %) and the relatively low deposition temperature. However, the small misfit (~1.8 %) between the ZnO layer that is deposited on GaN at 300°C resulted in a high quality single crystalline layer.

012016
The following article is Open access

and

The structure of Al, Ge, Mo doped higher manganese silicides (HMS) grown by the Bridgman technique has been studied by SEM/EDS/EBSD methods. It is shown that dopants are partially integrated into the HMS crystal lattice. Some inclusions with sizes of 0.1-100 μm and different shapes (round, irregular, elongated) are formed. The precipitation of tetragonal MoSi2 and Si-Ge solid-solution has been observed. MoSi2 inclusions hundreds of microns in size form a multicomponent texture. The inclusions of Si-Ge solid solution have an irregular shape. The orientation relationship between these inclusions and matrix crystal is determined.

Session D: Quantum wires and quantum dots

012017
The following article is Open access

, , , , , , , and

Functionalized individual semiconductor nanowires (SNWs) and 3D SNW arrays attract a continuously growing interest for applications in optoelectronics, sensing, and energy storage. High-resolution field-emission analytical (scanning) transmission electron microscopy ((S)TEM) enables critical insights into the morphology, crystalline and electronic structures and chemical composition of single-crystalline high-aspect-ratio SNWs as prospective building blocks suitable for both a large scale-up synthesis and fabrication. Furthermore, SNW-based lab-on-a-chip devices may allow direct correlation between functional properties tailored for specific performance and the heterostructure morphology and atomic arrangement of the nanoscale structure being analyzed in various (S)TEM modes.

012018
The following article is Open access

, , , and

Transmission and scanning electron microscopy have been used to characterise GaN/InGaN 3D nanostructures grown on patterned GaN/sapphire substrates by MOVPE. It has been found that the growth of well ordered arrays of such nanostructures, containing multiple quantum wells on non-polar side-facets, can be achieved with a low density of defects. Growth changes and surface morphology play a major role in the nucleation of any defects present. The nanostructure morphology has been investigated and non-uniform growth on adjacent facets studied.

012019
The following article is Open access

, and

In this work, we present an off-axis holography study of GaN/AlN heterostructured nanowires grown by plasma-assisted molecular-beam epitaxy. We discuss the sample preparation of nanowire samples for electron holography and combine potential profiles obtained using holography with theoretical calculations of the projected potential in order to gain understanding of the potential distribution in these nanostructures. The effects of surface states are discussed.

Session E: Quantum wells and strained layer epitaxy

012020
The following article is Open access

For decades, microelectronic industry has reduced components size while increasing their performance and reliability. Actual systems rely on multilayer nanostructures that require a perfect control of composition at atomic scales. In this study, we focus on detection of germanium contaminants in a thin silicon layer, using High Angle Annular Dark Field (HAADF) with Scanning Transmission Electron Microscopy. We proceeded to multislice calculations in order to extract quantitative information from HAADF measurements. Models consist of Ge substitutional impurities introduced in 10 nm thick Si layers. We analysed atomic column HAADF intensities along ⟨100⟩ zone axis, varying Ge impurities amount and position in an atomic column. Results show that for a reduced number of Ge atoms (n < 9), column intensity varies linearly with n. However depending on Ge atoms positions, a column containing n impurities can display a higher signal than a column containing n + 1 impurities. Therefore, a direct correlation between atomic column intensities and their Ge atoms content cannot be established without additional assumptions or complementary measurement.

012021
The following article is Open access

, , , and

Surface steps as high as 15 nm on up to 10 μm thick AlN layers grown on patterned AlN/sapphire templates play a major role for the structural and optical properties of AlxGa1−xN layers with x ≥ 0.5 grown subsequently by metalorganic vapour phase epitaxy. The higher the Ga content in these layers is, the stronger is the influence of the surface morphology on their properties. For x = 0.5 not only periodic inhomogeneities in the Al content due to growth of Ga-rich facets are observed by cathodoluminescence, but these facets give rise to additional dislocation formation as discovered by annular dark-field scanning transmission electron microscopy. For AlxGa1−xN layers with x = 0.8 the difference in Al content between facets and surrounding material is much smaller. Therefore, the threading dislocation density (TDD) is only defined by the TDD in the underlying epitaxially laterally overgrown (ELO) AlN layer. This way high quality Al0.8Ga0.2N with a thickness up to 1.5 μm and a TDD ≤ 5x108 cm−2 was obtained.

012022
The following article is Open access

, , , , and

Co2FeSi/GaAs(110) and Co2FeSi/GaAs(-1-1-1)B hybrid structures were grown by molecular-beam epitaxy (MBE) and characterized by transmission electron microscopy (TEM) and X-ray diffraction (XRD). The films contain inhomogeneous distributions of ordered L21 and B2 phases. The average stoichiometry could be determined by XRD for calibration of the MBE sources. Diffusion processes lead to inhomogeneities, influencing long-range order. An average L21 ordering of up to 65% was measured by grazing-incidence XRD. Lateral inhomogeneities of the spatial distribution of long-range order in Co2FeSi were imaged using dark-field TEM with superlattice reflections and shown to correspond to variations of the Co/Fe ratio.

Session F: Scanning probe techniques

012023
The following article is Open access

, , and

Scanning nonlinear dielectric microscopy (SNDM) can evaluate carrier or charge distribution in semiconductor devices. High sensitivity to capacitance variation enables SNDM to measure the super-high-order (higher than 3rd) derivative of local capacitance-voltage (C-V) characteristics directly under the tip (dnC/dVn,n = 3, 4, ...). We demonstrate improvement of carrier density resolution by measurement of dnC/dVn,n = 1, 2, 3, 4 (super-higher-order method) in the cross-sectional observation of metal-oxide-semiconductor field-effect-transistor.

Session G: Scanning electron and ion beam techniques

012024
The following article is Open access

, , , , , , , , , et al

We report on strain analysis by nano-beam electron diffraction with a spatial resolution of 0.5nm and a strain precision in the 4–7·10−4 range. Series of up to 160000 CBED patterns have been acquired in STEM mode with a semi-convergence angle of the incident probe of 2.6mrad, which enhances the spatial resolution by a factor of 5 compared to nearly parallel illumination. Firstly, we summarise 3 different algorithms to detect CBED disc positions accurately: selective edge detection and circle fitting, radial gradient maximisation and cross-correlation with masks. They yield equivalent strain profiles in growth direction for a stack of 5 InxGa1−xNyAs1−y/GaAs layers with tensile and compressive strain. Secondly, we use a direct electron read-out pnCCD detector with ultrafast readout hardware and a quantum efficiency close to 1 both to show that the same strain profiles are obtained at 200 times higher readout rates of 1kHz and to enhance strain precision to 3.5·10−4 by recording the weak 008 disc.

Session H: Device studies

012025
The following article is Open access

, , , , , and

Molecular beam epitaxy has been used to grow InN layers on both Si and SiC substrates and In0.5Ga0.5N layers on Si substrates using a nanorod precursor array. Transmission electron microscopy (TEM) studies show that nanorods grown first under N-rich conditions, and then under more metal-rich conditions to promote lateral growth are free of dislocations until coalescence occurs. At coalescence, dislocations are introduced at grain boundaries. These are predominantly twist boundaries, with better epitaxial alignment seen on SiC substrates. The lateral growth of In0.5Ga0.5N is shown to be cubic, tentatively ascribed to the growth of basal plane stacking faults at the start of the lateral growth and the low growth temperatures used.

012026
The following article is Open access

, , , , , and

The growing demand for wireless multimedia applications (smartphones, tablets, digital cameras) requires the development of devices combining both high speed performances and low power consumption. A recent technological breakthrough making a good compromise between these two antagonist conditions has been proposed: the 28-14nm CMOS transistor generations based on a fully-depleted Silicon-on-Insulator (FD-SOI) performed on a thin Si film of 5-6nm. In this paper, we propose to review the TEM characterization challenges that are essential for the development of extremely power-efficient System on Chip (SoC).

012027
The following article is Open access

, , , , and

The robust development of exotic devices strongly relies on physical characterization to better understand the stacked layers architecture and the resulting morphology. In such a context, a silicon nanowire, 200nm long and of around 15nm of diameter, encapsulated by a high-k metal gate stack (TiN/HfSiON) of few nanometers per layer, is characterized by 2D and 3D X-ray energy dispersive spectroscopy in a STEM to evaluate the process flow methodology.

012028
The following article is Open access

, , , and

SiGe lines epitaxially grown in silicon oxide nano-trenches on Si substrate are characterized by transmission electron microscopy (TEM) based techniques. Due to the high aspect ratio of the trenches most of the extended crystallographic defects are limited to the bottom of the lines. Few of them are still observed at the top of the lines and emerge in the cap above the lines. The SiGe composition is not homogenous in the width of the line and in the cap. This is linked to the formation of {111} facets. This variation of composition is not observed for the lines with an actual width narrower than 20nm.

Poster session P1

012029
The following article is Open access

, , , and

The behaviour of two metallic impurities, iron and tungsten, during oxidation of silicon wafers has been investigated using transmission electron microscopy and atom probe tomography. Metallic contamination has been introduced by implantation of 54Fe at 65 keV and 186W at 150 keV, with a dose of 1015 at/cm2. Oxidation of Fe-contaminated Si wafer results in the precipitation of iron as β-FeSi2 at the SiO2/Si interface. The presence of these precipitates hinders the oxidation front which forms silicon pyramidal defects. Further oxidation of the precipitates leads to iron-rich cluster formation in the SiO2 layer, surrounding the pyramids. Dry oxidation of a tungsten-contaminated Si wafer is characterised by the formation of nanometric spherical precipitates in the Si layer. The size and density of these precipitates versus depth follow the as-implanted W concentration profile.

012030
The following article is Open access

, , , , , and

The atomic-scale analysis of a commercial light emitting diode device purchased at retail is demonstrated using a local electrode atom probe. Some of the features are correlated with transmission electron microscopy imaging. Subtle details of the structure that are revealed have potential significance for the design and performance of this device.

012031
The following article is Open access

, , , and

We show that the accuracy of energy-dispersive X-ray spectroscopy can be improved by analysing and comparing multiple lines from the same element. For each line, an effective k-factor can be defined that varies as a function of the intensity ratio of multiple lines (e.g. K/L) from the same element. This basically performs an internal self-consistency check in the quantification using differently absorbed X-ray lines, which is in principle equivalent to an absorption correction as a function of specimen thickness but has the practical advantage that the specimen thickness itself does not actually need to be measured.

012032
The following article is Open access

, and

Sputter deposited terbium doped aluminium nitride layers were investigated with respect to the distribution and surroundings of the terbium luminescence ions. Semi-empirical calculations indicate that terbium forms complexes consisting of one aluminium vacancy surrounded by three oxygen ions on nitrogen lattice positions and one nitrogen ion that is bound to a terbium ion on a distorted aluminium lattice position. The crystal field splitting of the terbium ions indicate their surroundings to be not tetrahedral but, as anticipated from the determined complex, C3v. Complementary electron microscopic investigations show a random distribution of these complexes within the layer volume. The terbium ions cause a shape of the Tb M5,4 edge similar to the shapes in other ionic compounds like Tb2O3.

012033
The following article is Open access

, , , , and

The structure of 10-μm-thick GaN layer grown by chloride vapour-phase epitaxy on 1.5-inch SiC/(001)Si templates has been investigated by transmission electron microscopy (TEM). The silicon carbide buffer layer has been fabricated by a new method of solid-phase synthesis. It was found that the GaN layer consists of oriented grains with size of tenths of a micron. The grains have wurtzite structure, and the {0001} GaN planes are oriented parallel to {111} Si, that is, the deviation of the axis c of GaN crystallite from the normal to the substrate is about 52°. The revealed epitaxial relationship between substrate and most grains is (02bar 23)GaN||(001)3C-Si||(001)Si and [2bar 1bar 10]GaN||[110]3C-SiC||[110]Si. Some inclusions of sphalerite gallium nitride were also found in the epilayer.

012034
The following article is Open access

, , , , and

Preparation of hybrid inorganic-organic systems (HIOS) for transmission electron microscopy (TEM) in cross sectional view is the key for understanding the interfacial structure. Strikingly different materials properties like hardness, cleavability and heat sensitivity limit the number of applicable preparation strategies. Successful preparation of a HIOS system combining ZnO and para-sexiphenyl (6P) is realized by ultramicrotomy. It is shown that the alignment of the cutting plane with respect to the (0001) cleavage plane of ZnO plays a decisive role for successful preparation of extended TEM lamellae and the preservation of the HIOS structure. In particular, for (0001) oriented ZnO substrates the optimum cut direction is parallel to the HIOS interface. In cross-sectional high-resolution TEM images (100) lattice planes of 6P are observed proving the appropriate preparation strategy.

012035
The following article is Open access

, , , , , , and

Copper iodide one-dimensional nanocrystals within single walled carbon nanotubes (1D CuI@SWCNTs), i.e. meta-nanotubes [1], were investigated by high resolution electron microscopy (HRTEM). In meta-nanotubes of diameter Dm = 1.3-1.4 nm produced by arc-discharge (AD) method close-packed hexagonal or deformed cubic 1D crystal anion sublattices were observed with cations in octahedral or tetrahedral positions. These two sublattices reversibly transform to one another. In catalysed chemical vapour deposition (CCVD) meta-nanotubes of diameters Dm = 1.5-2.0 nm cubic anion sublattices are formed. For diameters ≥2.0 nm three-dimensional (3D) crystallization is observed.

012036
The following article is Open access

, and

The influence of heat treatment in vacuum and in oxygen on the composition and distribution of tantalum containing nanocomposites in silicon-carbon matrix has been investigated. It is shown that the influence of heat treatment determines the interaction of oxygen with the atoms in the amorphous matrix as well as with nanocrystals of tantalum carbide. We propose a qualitative model of the processes occurring in these interactions.

012037
The following article is Open access

and

Thin layers of (Sr,Ba)TiO3 perovskite have been grown on native silicon dioxide by pulsed laser deposition at the Technical University of Darmstadt, Germany. Atomic force microscopy (AFM) has been used to investigate the surfaces of the native silicon oxide before and after over-growth by the perovskite in plan-view. Bright-field and dark-field scanning transmission electron microscopy (STEM) in a JEOL 2010F field-emission transmission electron microscope have been combined to investigate the layer stacks of Si/SiO2/(Ba,Sr)TiO3 in cross-section. The aim is to correlate surface roughnesses in plan-view geometry with interface roughness in cross-sectional geometry, with an emphasis on detecting percolation in the perovskite layers if they approach thicknesses of only a few unit cells.

012038
The following article is Open access

, , , , , and

a-plane (Al,Ga)N layers can be grown on patterned c-plane AlN/sapphire templates with a ridge direction along [1bar 100]Al2O3. Scanning nanobeam diffraction reveals that the formation of a-plane layers can be explained by nucleation of c-plane (Al,Ga)N with [11bar 20](Al,Ga)N || [0001]Al2O3 at the ridge sidewalls. Faster growth of the top (11bar 20)(Al,Ga)N facet in the vertical direction leads to the overgrowth of c-plane (Al,Ga)N nucleated on the horizontal ridge and trench surfaces. Phase separation into binary GaN and AlN takes place during the first growth stages. However, this fades out and does not influence the composition of the final thick a-plane (Al,Ga)N layer.

Poster session P2

012039
The following article is Open access

, , , , , , and

A combination of molecular beam epitaxy (MBE) and atomic layer deposition (ALD) was adopted to fabricate GaN-based core/shell NW structures. ALD was used to deposit a HfO2 shell of onto the MBE grown GaN NWs. Electron transparent samples were prepared by focussed ion beam methods and characterized using state-of-the-art analytical transmission and scanning transmission electron microscopy. The polycrystalline coating was found to be uniform along the whole length of the NWs. Photoluminescence and Raman spectroscopy analysis confirms that the HfO2 ALD coating does not add any structural defect when deposited on the NWs.

012040
The following article is Open access

, , , , , , and

We have used micro-photo- and cathodo-luminescence at low temperatures to study the effects of sulphur doping in InP and radial InP/InAs/InP structured nanowires. Samples with pure wurtzite crystal structure, with modulated wurtzite/zincblende crystal structure and with different radial InAs growth times were investigated. We observed a doping concentration gradient along the nanowires, the location of segments of different crystal structure and thickness fluctuations on the monolayer scale of the InAs layer.

012041
The following article is Open access

, , , and

Core-shell GaN nanowires are expected to be building blocks of future light emitting devices. Here we apply off-axis electron holography to map the electrostatic potential distributions in such nanowires. To access the cross-section of selected individual nanowires, focused ion beam (FIB) milling is used. Furthermore, to assess the influence of FIB damage, the dopant potential measured from an intact NW is compared with a FIB prepared one. It is shown that in addition to the built-in potential between the p-type shell and unintentionally n-type under-layer there is a potential barrier between the core and under-layer which are both unintentionally n-type doped.

012042
The following article is Open access

, , , , , , , , and

Trench defects are a commonly occurring feature in InGaN/GaN quantum well (QW) structures. This defect appears at the surface of a structure as a trench enclosing a region of material with peculiar emission properties. Transmission electron microscopy was used to characterise the sub-surface structure of such defect. It consists of a basal-plane stacking fault (BSF) located in the QW stack and bound by a vertical stacking mismatch boundary (SMB) which runs towards the surface and which opens up into pits, which merge to form a trench. Atomic force microscopy and cathodoluminescence were performed on the same individual defects in order to directly correlate the morphology with the emission properties. A strong correlation has been established between the thickness of the trench and the redshift and intensity of the emission of the enclosed region suggesting that bright trench defects emitting at a longer wavelength nucleate early during the growth. Data also suggest that the SMB may act as a non-radiative recombination centre.

012043
The following article is Open access

, , , , , , , , and

The microstructure of Bi2Se3 thin films grown by molecular beam epitaxy on Si(111), InP(111)B and Fe-doped InP(111)B substrates has been studied in detail using scanning transmission electron microscopy. Films grown on Si(111) and InP(111)B substrates show the formation of twin domains: rotation twins (with the grain boundary perpendicular to the substrate) and lamellar twins (with the grain boundary parallel to the substrate). The presence of twins was confirmed by atomic-force microscopy (AFM) and X-ray diffraction (XRD). At the interface between Bi2Se3 film and Si(111) or InP(111)B substrates poorly crystallized layers of about 1 nm and 1.8 nm thickness, respectively, followed by well-crystallized Bi2Se3 layers, were found. The use of a Fe-doped InP (111) substrate with a rough surface enables the suppression of twin formation.

012044
The following article is Open access

, and

Multilayer Si/Ge heterostructures with the thickness of Ge layers varying from 2 to 12 monolayers (ML) were formed by molecular beam epitaxy (MBE) on the (001) Si substrates at 300°C (Ge) and 450°C (Si). The study of the Si/Ge heterostructures was performed by transmission and Cs corrected scanning transmission electron microscopy (STEM). It was shown that the growth of Ge layers up to thickness of 5 ML occurs through the Frank – van der Merwe mechanism. For thicker Ge layers the growth mechanism of the Si-Ge heterostructure changes to Stranski – Krastanov with Si-Ge islands having the shape of inverted pyramids. The Si-Ge layer intermixing was discussed.

012045
The following article is Open access

, and

The varying nature of TiO2 nanotube work function as a function of illumination wavelength has been determined using illuminated electrostatic force microscopy. The dark work function was found to be 4.902 eV, with the largest change in work function due to illumination being at 300 nm, which was higher than the work function for bulk TiO2 (4.899 eV). The change in work function due to illumination arises from the flattening of the energy bands at the surface due to charge migration.

012046
The following article is Open access

, , , , , and

Analysis of the integrated circuits of a microelectronic device depends on delayering. Focused ion beam (FIB) or broad ion beam (BIB) milling are effective complementary methods of delayering. FIB provides higher removal rates, but is limited in the effective area that can be revealed per unit time, while BIB provides lower removal rates, but has the advantage with respect to the size of the field of view produced. Microstructural features and the appearance of defects were identified and tracked for two model systems: Cu vias and Cu TSVs (through-silicon vias).

012047
The following article is Open access

, and

In this project, we combine Ga+ focused ion beam (FIB) patterning and self-assembly of InGaAs quantum dots in order to produce regular quantum dot (QD) arrays. The GaAs substrate is patterned before transporting into molecular beam epitaxy growth chamber to do anneal and overgrowth. The thickness of deposited InAs is precisely controlled. Quantum dots are expected to nucleate at specific locations, where the ion beam has previously implanted gallium atoms. The properties of the quantum dots formed are related to the FIB beam parameters, which include accelerating voltage, probe current, dwell time and pitch. We studied and statistically analyzed the relationship, focusing on their diameter, height and distribution. Scanning electronic microscope (SEM) and atomic force microscope (AFM) images have been used to analyze the patterns and QDs before and after overgrowth. Micro-PL study was performed to test the QD opto-electronic property. Scanning transmission electron microscope (STEM) cross sectional analysis and X-ray mapping is performed.

012048
The following article is Open access

, , , , and

Multi-layered graphene based spin valves were deposited on SiO2/Si substrates and the Co ferromagnetic and non-magnetic electrodes were patterned using electron-beam lithography. Aberration-corrected (scanning) transmission electron microscopy imaging and energy dispersive X-ray spectroscopy were employed to study the interfacial structure of the device components from thin cross-sectional lamellae obtained by focused ion beam microscopy. The robustness of the spin injection with a constant spin polarization under positive and negative bias voltage was attributed to the interface smoothness which leads to suppression of spin scattering at the interface between the ferromagnet and the multi-layered graphene.

012049
The following article is Open access

, , and

Processes accompanying the amorphization of Si surface layer after Ar+ and He+ low energy plasma immersion ion implantation were studied by transmission electron microscopy and microanalysis. The ion energies varied in the range of 0.5 – 3 keV with the doses of 5·1015 cm−2 for Ar+ and 2·1016 cm−2 for He+ pre-amorphization implants. A perturbation of Si lattice together with porosity was found. The utilization of heavy and light ions causes distinctive microstructural peculiarities. The presence of oxygen and its distribution near the surface layer was determined by electron energy loss spectroscopy.