This site uses cookies. By continuing to use this site you agree to our use of cookies. To find out more, see our Privacy and Cookies policy.

Table of contents

Carbon Nanostructures and Devices

M1

, , and

Using atomic layer deposition, vertically-aligned multi-walled carbon nanotube (MWCNT) supercapacitors were coated with conformal and uniform layers of titanium oxide to introduce pseudocapacitive charge storage properties to the electrodes. The results suggest that the addition of a controlled thickness of titania to the MWCNT structure improves the performance of the capacitors, with an increase in specific capacitance (more than 300%) as compared to the control supercapacitors, with values up to 73 F/g normalized to electrode mass (MWCNT and titania) and 1,364 F/g normalized to titania mass. Furthermore, the series resistance of the control supercapacitors (1.21 Ω) did not significantly increase with the addition of titania, until 300 atomic layer deposition cycles were performed. Improvements of the specific power and energy were also observed, with the maximum specific power and energy seen being 24.8 ± 4.8 W/g and 14.0 ± 4.1 Ws/g respectively.

Dielectric Science and Materials

N1

, , , , , , and

We have successfully deposited Hf1-xAlxOy with Al/(Al+Hf)% ranging from 0 to 25% using an atomic layer deposition (ALD) process which incorporates a sequential metal precursor pulse method. The dielectric crystal phase was confirmed to be a mixed phase of tetragonal and monoclinic using a combination of synchrotron measurement techniques consisting of grazing incidence X-ray diffraction, and grazing incidence extended X-ray absorption fine structure. The crystallization temperature as a function of Al incorporation was assessed by synchrotron X-ray diffraction with in-situ ramp annealing. In metal-oxide-semiconductor (MOS) capacitors we observed an equivalent oxide thickness (EOT) reduction of ∼2 Å compared to HfO2 for Hf1-xAlxOy with Al/(Al+Hf)% ≤ 6% annealed near the crystallization temperature of the Hf1-xAlxOy films. In addition, the leakage current was also reduced by a factor of 10 while maintaining a flatband voltage that is comparable to post deposition annealed (PDA) HfO2 films processed under identical conditions. This study highlights the importance of understanding the crystallization temperature and its relationship to electrical performance for higher-k phase stabilized Hf1-xAlxOy films.

N6
The following article is Open access

, , and

The mechanical properties of an aqueous developed, chemically amplified, polynorbornene-based permanent dielectric have been investigated. The previously reported hexafluoroisopropanol norbornene and tert-butyl ester norbornene copolymer has been modified via two routes to improve the mechanical properties of the polymer and enable thick-film deposition. First, a third monomer, butyl norbornene (ButylNB) was added to the polymer backbone. The inclusion of 24 mol% ButylNB lowered the elastic modulus from 2.64 to 2.35 GPa and raised the dielectric constant from 2.78 to 3.48. The second approach added a low molecular weight, plasticizing additive in the copolymer formulation. Many additives were immiscible with the resin or did not affect the mechanical properties. Trimethyololpropane ethoxylate (TMPEO) was found to be a miscible additive that improved mechanical properties and could participate in crosslinking the final dielectric material. TMPEO interacted with the PAG, lowering its decomposition temperature. An optimal formulation and processing scheme were determined. A formulation with 10 pphr TMPEO was measured to have a dielectric constant of 2.94, an elastic modulus of 1.95 GPa, a sensitivity at 365 nm of 175 mJ/cm2, and a contrast of 4.36.

Electronic Materials and Processing

P1
The following article is Open access

, and

This study focuses on the characterization of nano-scale metal oxide films for chemical mechanical planarization (CMP) applications. The protective nature of the self-grown metal oxide layers in the CMP slurry environment enable topographic selectivity required for metallization of interconnects. Tungsten was selected as the model metal film to study the formation and characteristics of the metal oxide nano-layers since tungsten CMP is very well-established in conventional semiconductor manufacturing. The tungsten oxide nano-films were characterized for thickness, density and surface topography in addition to evaluation of their protective nature by calculation of the Pilling-Bedworth (P-B) ratios. It was observed that in addition to controlling the self-protective characteristics, the oxidizer concentration also affects the surface structure of the metal oxide films resulting in significant changes in the CMP process performance in terms of material removal rates and surface finish with a sweet-spot detected at 0.075 M H2O2 concentration.

P9
The following article is Open access

, , , , , , , and

To meet various physical property requirements of materials for advanced applications for specific devices, combinations of Si/Ge, Ge/Si, Si1-xGex/Si, are frequently introduced in the device fabrication process. Epitaxy, condensation and annealing processes are commonly used. Since a small variation in composition, strain and crystallinity can result in reduced device performance or failure, the composition, strain and crystallinity must be carefully monitored and controlled throughout the manufacturing process. We have studied the dependence of Ge and Si intermixing on annealing temperature and Raman excitation wavelength. Using multiwavelength Raman spectroscopy, we found Ge and Si intermixing in epitaxially grown Ge(100)/Si(100) after successive thermal anneals. Very strong dependence of signal-to-noise (S/N) ratio measurements on excitation wavelength and film structure was observed. Suitable excitation wavelengths must be chosen to properly characterize Si and Ge-based heteroepitaxial layers based on the thickness, stacking order and composition of epitaxial films having different optical properties at different wavelengths.

P16
The following article is Open access

, and

The process of by-product formation during silicon film deposition using trichlorosilane gas was studied by two types of the in situ measurement techniques, such as the langasite crystal microbalance (LCM) and the quadrupole mass spectra (QMS) analyzer, installed at the exhaust of the chemical vapor deposition (CVD) reactor. With the increasing hydrogen gas concentration, the QMS showed that the partial pressure related to SiCl2 decreased. Simultaneously, the deposition on the LCM surface decreased. Because SiCl2 gradually produces the burnable by-product of (SiCl2)n, an oily silane, at the exhaust, the CVD parameters effective for suppressing the SiCl2 formation were evaluated.

P20

, , and

We investigated the adhesion strength of Cu films grown using chemical vapor deposition (CVD) on a Co(W) layer, which was grown using atomic layer deposition (ALD), for Cu interconnect applications in ultra-large scale integration (ULSI). Co(W) forms an effective barrier to the diffusion of Cu and forms an adhesion layer in a single barrier. Amidinato precursors were used in the deposition of Co and W, which contain neither O nor F, and yielded high-purity Co(W) films. Furthermore, the use of an amidinato Cu precursor was also found to be important in enabling Cu deposition without interfacial contamination. The W content of the Co(W) layer was optimized to 14 at% via an evaluation of the diffusion barrier properties, the electrical conductivity, and the adhesion strength with the Cu layer. A CVD-Cu/ALD-Co(W) stack was formed using amidinato precursors for the growth of both films, and was found to exhibit favorable properties compared with a conventional PVD-Cu/PVD-Ta/TaN stack. It was also favorable to a PVD-Cu/PVD-Ru/TaN stack in terms of the diffusion barrier properties and electrical conductivity, with a similar adhesion strength for Cu. For these reasons, our Co(W) films have potential applications in reliable and high-performance Cu interconnects in next-generation ULSI.

P30
The following article is Open access

, , , , , , , and

The mechanisms of ohmic contact formation and carrier transport of low temperature (600°C) annealed Hf/Al/Ta on In0.18Al0.82N/GaN heterostructure grown on Si substrate have been investigated. The Hf/Al/Ta ohmic contacts have a smooth interface with In0.18Al0.82N/GaN, and the formations of HfN and Hf-Al alloy near the metal-semiconductor interface are critical to achieving good ohmic contact. Thermionic field emission (TFE) is found to be the dominant carrier transport mechanism in the Hf/Al/Ta ohmic contacts on In0.18Al0.82N/GaN and analysis of the TFE model has revealed a high carrier density of 1.72 × 1019 cm−3 and an effective barrier height of 0.48 eV. The sheet resistance of the In0.18Al0.82N/GaN substrate is shown to increase with temperature by the power-law (∝ T1.55). A series two-barrier model has been used to explain the carrier transport through the Hf/Al/Ta ohmic contacts on In0.18Al0.82N/GaN with a smooth metal-semiconductor interface. It has also been shown that the Hf/Al/Ta contacts on In0.18Al0.82N/GaN are stable at 350°C in air for more than 200 hours.

P36
The following article is Open access

and

A slurry with a non-Prestonian dependence on the polishing pressure can help in minimizing dishing and erosion during shallow trench isolation chemical mechanical planarization. Here, we show that ceria-based slurries containing diallyldimethylammonium chloride (DADMAC) yield a non-Prestonian blanket film polish rate with a low threshold pressure (1–2 psi) when polishing plasma-enhanced chemical vapor (PECVD) tetraethylorthosilicate (TEOS) deposited oxide as well as thermal oxide films. The polishing mechanism of this non-Prestonian slurry was investigated by a series of experiments involving zeta potential measurements, thermogravimetric analysis (TGA) and UV-vis spectroscopy and it was shown that more DADMAC molecules are adsorbed on silica particles (as oxide film representatives) than on ceria particles and the binding strength between DADMAC and silica is much higher than that with ceria surface.

P42
The following article is Open access

, and

We present two methods for characterization of wafer bonding. They are based on recess and mesa bond test structures with various shapes, measurement of unbonded regions using scanning acoustic microscopy (SAM), and image analysis. The first method maps locally the surface energy across the bonded wafers using the measured deformations around these structures and the finite element method (FEM). The FEM analysis is supported by analytical modeling. The second method uses the measured bonding probabilities of 10–19 nm deep recess bond test structures in investigation of surface interactions and in determination of the average of the surface energy at the wafer level. The present methods and proposed optimized test structures allow the evaluation of surface cleans without destructive, off-line methods such as the crack-opening method, which is employed as a reference. The methods are utilized in the investigation of the effect of O2 and N2 plasma activation and the dilution and temperature of Standard Clean 1 on Si/SiO2 direct bonding. The results from both methods correlate with each other. The bond strength of the annealed wafers is observed to increase in the order 1) O2 plasma, 2) standard SC1 at 65°C, 3) N2 plasma, and 4) dilute SC1 at 45°C.

Electronic and Photonic Devices and Systems

Q1

and

Silicon dioxide thin films are most widely used for different applications in microelectromechanical system (MEMS) fabrication such as etch mask, structural and sacrificial layers. Anodic oxidation method offers several advantages over other techniques, such as room temperature deposition, low cost, simple experiment setup, etc. In the present work, anodic oxidation of silicon is employed to grow oxide thin films at wafer-scale at room temperature. As-grown oxide films are characterized using ellipsometry, scanning electron microscope (SEM), atomic force microscopy (AFM), Fourier transform infrared (FTIR) spectroscopy, optical microscope and 3D measuring laser microscope. Thickness uniformity and refractive index are measured using ellipsometry. FTIR spectra are used to conform the absence of hydroxyl and water absorption in the films. In order to exploit the application of anodic oxide in MEMS, the etch rates and the corner undercutting are studied in different concentration TMAH solutions at various temperatures. The as-grown oxide thin films with a thickness of about 155 nm are successfully demonstrated for the realization of various shapes freestanding MEMS structures such as rectangular cantilever beams, diaphragms, etc. using TMAH solution. Furthermore, as-grown oxide is used as mask to fabricate cavities with different shape and sizes in silicon substrate using wet anisotropic etching.

Luminescence and Display Materials, Devices, and Processing

R1
The following article is Open access

, , and

Herein a study on the preparation and cathodoluminescence of monosized spherical nanoparticles of Y2O3:Eu3+ having a Eu3+ concentration that varies between 0.01 and 10% is described. The luminous efficiency and decay time have been determined at low a current density, whereas cathodoluminescence-microscopy has been carried out at high current density, the latter led to substantial saturation of certain spectral transitions. A novel theory is presented to evaluate the critical distance for energy transfer from Eu3+ ions in S6 to Eu3+ ions in C2 sites. It was found that Y2O3:Eu3+ with 1–2% Eu3+ has the highest luminous efficiency of 16lm/w at 15keV electron energy. Decay times of the emission from 5D0 (C2) and 5D1 (C2) and 5D0 (S6) levels were determined. The difference in decay time from the 5D0 (C2) and 5D1 (C2) levels largely explained the observed phenomena in the cathodoluminescence-micrographs recorded with our field emission scanning electron microscope.

R10
The following article is Open access

, and

Carrier localization, transportation and recombination in blue-emitting InGaN/GaN multiple quantum wells were analyzed using temperature-dependent photoluminescence spectroscopy, confocal laser scanning microscopy and time-resolved photoluminescence (TRPL). The temperature-dependent shift of PL intensity was fitted with Arrhenius equation and explained using two non-radiative channels, which are related with thermal activation of carriers from different confining potentials. The S-shaped shift of PL peak energy and inverse-S-shaped shift of PL full width at half maximum were explained with carrier localization and carrier transportation. The TRPL spectra taken at several different places from bright region to dark region in the confocal microscopic image showed that the fast decay life-time τ1 increases with decreasing PL intensity, indicating a higher carrier transportation rate at bright region, while the slow decay life-time τ2 decreases with decreasing PL intensity, indicating a higher probability of non-radiative recombination at dark region.

R14

, , , , , and

Well-dispersed and uniform Y2O3:Ln3+ (Ln3+ = Eu3+/Tb3+/Yb3+/Er3+/Tm3+) nanospheres have been successfully prepared at 180°C via a facile hydrothermal route without any template, followed by a subsequent calcination process. X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive spectrometer (EDS), transmission electron microscopy (TEM), photoluminescence (PL) spectra, and cathodoluminescence (CL) spectra as well as kinetic decay were employed to characterize the samples. It was found that the hydrothermal precursor could act as a template to obtain the corresponding oxide Y2O3 which inherited the original morphology of the hydrothermal precursor. The TEM and SEM images indicate that the samples consist of separated spheres with a mean diameter of about 260 nm. Under the excitation of ultraviolet (UV) light, 980-nm laser, and low-voltage electron beams, the Y2O3:Ln3+ phosphors all exhibited bright red, green and blue emissions, respectively, which were easily observed by naked eyes. The corresponding luminescent mechanism had been discussed. Due to the excellent PL (including up-conversion and down-conversion) and CL properties, multicolor tunable luminescence, ideal spherical shape, and cheap Y2O3 host, the as-prepared phosphors can potentially be used as fluorescent lamps, up-conversion fluorescent labels, and field emission display devices.

R20
The following article is Open access

, , , and

Wafer bonding and laser lift-off (LLO) processes were employed to fabricate pattern sapphire thin-GaN light-emitting diodes LEDs (PT-LED). During the LLO process, the required laser energy for PT-LED was much higher than that for flat thin-GaN LED (FT-LED). The yield rate of PT-LED was low, and the leakage current was high. In this study, the laser lift-off mechanisms of PT-LEDs were investigated.

R23

, , and

BaF2 was added in preparation of CaSc2O4:Ce3+ phosphor by solid state reaction method. The influence of BaF2 flux on the crystal structure, photoluminescence properties, morphology and thermal quenching effect of CaSc2O4:Ce3+ phosphor was studied in detail. The results show that the adding of BaF2 flux can improve the luminescence intensity, improve morphology and decrease temperature quenching of CaSc2O4:Ce3+ phosphor. The maximum emission intensity of CaSc2O4:0.01Ce3+ phosphor was achieved at containing 0.5 wt% BaF2.

R27

, , , and

Eu2+ doped Sr2Al2SiO7 cyan-green emitting phosphors have been synthesized with solid-state reaction method. With Rietveld refinement technique, Sr2Al2SiO7 equivalent sites and atomic positions were acquired. The Sr2Al2SiO7:Eu2+ photoluminescence at room and high temperature were investigated. This phosphor shows the Eu2+ broad band excitation and emission spectra corresponding to Eu2+ transitions between the 4f 7 ground state and the lower 4f 65d1 crystal splitting states. The luminescent properties as a function of Eu2+ concentration were investigated. The mechanism of dipole-dipole interaction dominates the non-radiative energy transfer between the Eu2+ luminescent centers and causes the concentration quenching of this phosphor. The radiation re-absorption is proposed to be the mechanism for the emission red shift. The emission band shows slight blue shift with increased temperature. Sr2Al2SiO7:Eu2+ is a kind of promising blue to cyan emitting phosphor.

R31

, and

Zn1−xYxS (x = 0.00, 0.02, 0.04, 0.06, 0.08, and 0.10) was prepared by reverse micelle method using sodium bis(2-ethylhexyl)sulfosuccinate (AOT) as surfactant; Y refers to Ni2+, Co2+, and Mn2+ ions. The effects of ion doping on the optical characterization, structure, and morphology of ZnS were investigated using ultraviolet-visible (UV-vis) spectroscopy, photoluminescence (PL) spectrophotometry, Fourier transform infrared (FT-IR) spectroscopy, X-ray diffraction (XRD), field-emission scanning electron microscopy, and transmission electron microscopy. FT-IR and EDAX results confirmed the incorporation of ion dopants into ZnS crystal structure, and XRD results showed that ZnS:Y nanoparticles crystallized in a zinc blende structure without any impurity. The particle size of all of samples ranged from 2 nm to 4 nm, as calculated by the Debye–Scherrer formula and Brus equation. Ion doping shifted the absorption edge to lower wavelengths, as shown in the obtained UV-vis spectra of samples. Bandgap energy values ranged from 4.1 eV to 4.9 eV. PL characteristics of the doped ZnS were compared, and ZnS:Mn2+ was found to have the highest PL intensity ratio (33.86%). The increased PL intensity ratio indicated increased homogeneous nanoparticle growth with decreased surface defects.

Errata