This site uses cookies. By continuing to use this site you agree to our use of cookies. To find out more, see our Privacy and Cookies policy.

Table of contents

Volume 441

2013

Previous issue Next issue

11th APCPST (Asia Pacific Conference on Plasma Science and Technology) and 25th SPSM (Symposium on Plasma Science for Materials) 2–5 October 2012, Kyoto, Japan

Accepted papers received: 22 April 2013
Published online: 13 June 2013

Preface

011001
The following article is Open access

, , and

The 11th Asia–Pacific Conference on Plasma Science and Technology (APCPST-11) was held in Kyoto, Japan on 2–5 October 2012 with the 25th Symposium on Plasma Science for Materials (SPSM-25).

SPSM has been held annually since 1988 under the sponsorship of The 153rd Committee on Plasma Materials Science, Japan Society for the Promotion of Science (JSPS). This symposium is one of the major activities of the Committee, which is organized by researchers in academia and industry for the purpose of advancing intersectional scientific information exchange and discussion of science and technology of plasma materials processing.

APCPST and SPSM are jointly held biennially to survey the current status of low temperature and thermal plasma physics and chemistry for industrial applications. The whole area of plasma processing was covered from fundamentals to applications. Previous meetings were held in China, Japan, Korea, and Australia, attended by scientists from the Asia–Pacific and other countries.

The joint conference was organized in plenary lectures, invited, contributed oral presentations and poster sessions. At this meeting, we had 386 participants from 10 countries and 398 presentations, including 26 invited presentations. This year, we arranged special topical sessions that covered green innovation, life innovation, and technical reports from industry. This conference seeks to bring the plasma community together and to create a forum for discussing the latest developments and issues, the challenges ahead in the field of plasma research and applications among engineers and scientists in Asia, the Pacific Rim, as well as Europe.

This volume presents 44 papers that were selected via a strict peer-review process from full papers submitted for the proceedings of the conference. The topics range from the basic physics and chemistry of plasma processing to a broad variety of materials processing and environmental applications. This volume offers an overview of recent advances in thermal and non-equilibrium plasmas as well as on more new and innovative developments in the field of life innovation, green innovation and a technical report session.

The editors hope that this volume will be useful and helpful for deepening our understanding of science and technology of plasma materials processing and also for stimulating further development of the plasma technology.

Finally, we would like to thank the conference chairmen, the members of the organizing committee, the advisory committee, the executive committee, the program committee, the publication committee, organizing secretariat and financial support from The 153rd Committee on Plasma Materials Science, JSPS.

Sponsors and Supporting Organization: The 153rd Committee on Plasma Materials Science, Japan Society for the Promotion of Science

Organizing Committee Chairperson: Osamu Tsuji, SAMCO Corporation, Japan Advisory Committee Chairperson: Akihisa Matsuda, Osaka University, Japan Executive Committee Chairperson: Masaru Hori, Nagoya University, Japan Program Committee Chairperson: Takamasa Ishigaki, Hosei University, Japan Publication Committee Chairperson: Takayuki Watanabe, Kyushu University

Editors of APCPST-11 and SPMS-25

Professor Takayuki Watanabe, Kyushu University, Japan Professor Toshio Kaneko, Tohoku University, Japan Professor Makoto Sekine, Nagoya University, Japan Professor Yasunori Tanaka, Kanazawa University, Japan

011002
The following article is Open access

All papers published in this volume of Journal of Physics: Conference Series have been peer reviewed through processes administered by the proceedings Editors. Reviews were conducted by expert referees to the professional and scientific standards expected of a proceedings journal published by IOP Publishing.

Papers

Life Innovation

012001
The following article is Open access

, , , , and

Investigations on plasma-biomolecules interactions have been carried out as fundamental process for plasma applications in medical science and biological treatments. In this study, plasma interactions with L-alanine which are amino acids: the building blocks of proteins have been investigated in terms of physical effects. Effect of ion and photon irradiation on degradation of L-alanine has been studied via x-ray photoelectron spectroscopy (XPS) analysis of chemical bonding states. The XPS results showed that the decrease in the -CH3 bond, >C(NH2)COOH bond, and -COOH bond peaks of main component of the L-alanine with increasing ion energy, ion dose and photon energy. The L-alanine degraded by ions and photons with energy over 6eV.

012002
The following article is Open access

, , , , and

This paper explores the use of plasma treatment as a pretreatment process to assist the application of antimicrobial process on cotton fabric with good functional effect. In this paper, antimicrobial finishing agent, Microfresh Liquid Formulation 9200-200 (MF), and a binder (polyurethane dispersion, Microban Liquid Formulation R10800-0, MB) will be used for treating the cotton fabric for improving the antimicrobial property and pre-treatment of cotton fabric by plasma under atmospheric pressure will be employed to improve loading of chemical agents. The chemical analysis of the treated cotton fabric will be conducted by Fourier transform Infrared Spectroscopy.

Green Innovation

012003
The following article is Open access

, , , , , and

The effect of hydrogen radical on production of Si from chlorosilane sources has been studied. We used hydrogen radical generated from pulsed thermal plasma to decompose SiHCl3 and SiCl4. Hydrogen radical was effective for lowering the temperature to produce Si from SiHCl3. SiCl4 source, which was chemically stable and by-product in Siemens process, was decomposed effectively by hydrogen radical. The decomposition of SiCl4 was consistent with the thermo-dynamical calculation predicting that the use of hydrogen radical could drastically enhance the yield of Si production rather than case of H2 gas.

012004
The following article is Open access

, and

Hydrogen sulfide (H2S) gas diluted in air was removed on a large scale using a newly developed wet electrostatic precipitator (Wet-EP) system under the continuous flow condition at atmospheric pressure and room temperature. Acid H2S is spontaneously neutralized by NaOH and KOH used as basic materials at room temperature. And then, the solid salts generated from neutralization reaction were removed by the electrostatic precipitation method using corona discharge. The experimental variables are reaction ratio between H2S and basic materials, reaction time and plasma input power for the removal of generated solid salts. The purpose of this work is to determine optimal operating conditions and space velocity. The removal efficiencies of H2S and dust were over 99% at H2S initial concentration of 600 ppm and the total flow rate of 2 m3/min. The optimal space velocities for NaOH and KOH are almost from 12000 to 15000 hr−1 at reaction time of 0.06 and 0.048 seconds, respectively. In conclusion, KOH is more efficient than NaOH for removal of H2S.

012005
The following article is Open access

, and

Dielectric barrier discharge microplasma generated at the surface of water is proposed as a solution for water treatment. It is an economical and an ecological technology for water treatment due to its generation at atmospheric pressure and low discharge voltage. Microplasma electrodes were placed at small distance above the water thus active species and radicals were flown by the gas towards the water surface and furthermore reacted with the target to be decomposed. Indigo carmine was chosen as the target to be decomposed by the effect of active species and radicals generated between the electrodes. Air, oxygen, nitrogen and argon were used as discharge gases. Measurement of absorbance showed the decomposition of indigo carmine by microplasma treatment. Active species and radicals of oxygen origin so called ROS (reactive oxidative species) were considered to be the main factor in indigo carmine decomposition. The decomposition rate increased with the increase of the treatment time as shown by the spectrophotometer analysis. Discharge voltage also influenced the decomposition process.

012006
The following article is Open access

, , , , and

Atmospheric pressure non-thermal dielectric barrier discharge (DBD) plasma generated by helium gas at high voltage and input power of about 50 W was first applied to detoxification of Jatropha curcas phorbol esters (J. PEs) as well as standard phorbol ester (4β-12-O-tetradecanoyl phorbol-13-acetate, TPA) in water and methanol. Plasma irradiation on the solution sample was conducted for 15 min. In aqueous solution, only 16% of TPA was degraded and complete degradation of J. PEs was observed. On the contrary, complete degradation of both TPA and J. PEs in methanol was achieved by the same plasma irradiation condition. Hydroxyl radical (•OH) generated by plasma irradiation of the solution is expected as the main radical inducing the degradation of PEs.

012007
The following article is Open access

, , , , and

Decolorization of an organic dye by discharge in high conductive water using a pulsed power generator and a discharge reactor was investigated. The discharge reactor consisted of a glass tube and a tungsten wire inserted into the glass tube, which was immersed in the water. Room air was injected into the glass tube to generate bubbles in the water. High voltage pulses were generated by an inductive-energy storage system using semiconductor opening switch (SOS) and by a magnetic pulse compression circuit. Fast recovery diodes were used as SOS diode in the inductive-energy storage system. The pulse width was changed in range from 10 to 1200 ns. The high voltage was applied to the tungsten wire. Indigo carmine was employed as a specimen to evaluate decolorization efficiency. Potassium nitrate was used to adjust the solution conductivity. The dye solution was successfully decolorized at 7 mS/cm conductivity. Energy efficiency for decolorization increased from 0.680 to 55.6 mg/Wh with decreasing the pulse width from 1200 to 10 ns owing to the reduction of ohmic loss.

012008
The following article is Open access

, and

It was well known that plasma can be defined as a partially ionized gas composed of ions, electrons and neutral species. Recently, plasma-water interaction has attracted growing interest as it may provide experimental chemists with a quite unique reaction medium. In this work, decoloration of dyes in water as a reaction media and the effects of various parameters with pulsed high-voltage discharge plasma are studied. Such as plasma applied under hydrothermal conditions generates high-energy electrons, ions, and radicals, which in turn may generate new reaction fields, leading to effective organic compounds oxidation for both homogeneous and heterogeneous reactions. Here, we utilize pulse discharge plasma over water surface to study the decoloration of Orange G, Orange II, Congo Red, and Naphthol Blue Black. They were directly fed as starting materials without additives. The experiments were conducted under argon atmospheric at 313 K using a batch type reactor. The products were directly analyzed by UV-Vis (ultra violet-visible) spectrophotometer V-550. The decoloration rate increased with increasing peak pulse voltage and pulse numbers, presumably due to the increased electric field energy. Based on these results, the present system may be promising.

Basic Plasma Physics

012009
The following article is Open access

, and

We measured absolute densities of N2(A3Σ+u), N(4S°), and N(2D°) in the downstream region at various distances from a microwave N2 plasma source. As a result, it was found that the transport of N(4S°) was highly efficient with keeping the densities on the order of 1017 m−3 at distances up to 12 cm from the discharge region. On the other hand, the densities of N2(A3Σ+u) and N(2D°) decayed from 1016 to 1014 m−3 when the distance between the discharge region and the measurement position was lengthened from 6 to 12 cm. The decay curves of the N2(A3Σ+u) and N(2D°) densities were different each other. The densities of N2(A3Σ+u) and N(2D°) in the downstream region were not owing to the transports but were due to their productions. We discussed the dominant production processes of N2(A3Σ+u) and N(2D°) on the basis of the experimental observations.

012010
The following article is Open access

, and

The sheath voltage in SF6/Xe plasma was measured with the Langmuir probe and compared with the theoretical value calculated from the sheath equation including negative ions. The negative ion density was estimated from the reduction of the electron saturation current. It was found that the observed sheath voltages agree with the theoretical values qualitatively.

012011
The following article is Open access

and

One-dimensionally periodic structure of plasma density is produced by a 400 kHz capacitively coupled discharge in 15 Pa argon. The maximum density can be increased up to above 1010–1011 cm−3 by increasing the rf power. The density ratio between the higher and lower density layers is maintained at about 0.7 in the present configuration. The transmittance of the microwave in the GHz range is measured and simply calculated. The results indicate the presence of the bandgap near about 3 GHz, which originates in the periodic structure.

012012
The following article is Open access

, , , , and

A surface-charge measurement system based on the Pockels effect in bismuth silicon oxide dielectric crystals was constructed for measuring the surface-charge density of the dielectrics in the microgap dielectric barrier discharge. We re-examined the calculation methods of the surface-charge density from the voltage applied to the BSO crystal, obtained by laser interferometry. The charge calculated using the circuit equation coincided with the that obtained using the discharge current. Under certain experimental conditions, the maximum values of the surface charge density in the discharge cell with and without a protection glass were +2.0 and +2.5 nC/cm2, respectively.

012013
The following article is Open access

and

A one-dimensional fluid calculation is performed to find out the effects of metastable atoms in alternating-current (AC) driven dielectric barrier discharge (DBD) of argon. Dependences of the breakdown voltage and the density of the metastable state atoms on the driving frequency and the pressure are investigated to clarify the importance of the secondary electron emission by metastable atoms and the metastable-metastable ionization reaction. The results suggest that such processes can be significant when the driving frequency becomes several hundred Hz under several Torr.

012014
The following article is Open access

, and

Despite the existence of massively parallel codes and their application to plasma simulation, in the field of computer simulations the unsolved problems still remain. The necessity of various approximations in practical problems, for example, decreasing of the simulation dimension and physical parameter calibrations, arises, but such approximations can make the simulation results questionable.

While aiming for the maximal efficiency of computational algorithms it is possible to manage without the majority of popular approximations and to broaden the applicability limits of the numerical research. Such possibility arises with the use of the Locally Recursive non-Locally Asynchronous (LRnLA) algorithms as the base for a program code. This was used as a basis for CFHall plasma simulation code development. CFHall is presented in this work along with the brief description of the underlying algorithms. The applicability of the CFHall to plasma instability simulation is demonstrated on the example of weibel instability simulation.

Plasma Generation

012015
The following article is Open access

, , , and

A multi-phase AC arc has been applied to the glass melting technology. However, the electrode erosion is one of the most considerable issues to be solved. In order to investigate the erosion mechanism of the multi-phase AC arc, the combination of the high-speed video camera and the band-pass filters was introduced to measure the electrode temperature. Results indicated the tip temperature of the electrode surface in the 12-phase arc was lower than that in the 2-phase arc, while erosion rate in 12-phase arc was higher than that in the 2-phase arc. Furthermore, the dynamic behaviour of the vapours in the arc was investigated by using the same high-speed camera system. The tungsten electrode mainly evaporates at the anodic period during AC cycle. The oxygen concentration in the arc increases with larger number of the phases, resulting in the higher erosion rate in the 12-phase arc.

012016
The following article is Open access

, , , and

A tandem type of modulated induction thermal plasma (Tandem-MITP) system has been developed using two rf power supplies and two coils for one plasma torch. This system was developed to control temperature and reaction fields temporally and spatially in a thermal plasma. The modulation of each coil current can change the thermal plasma temperature in the torch temporally and spatially. Time variation of Ar excitation temperature was estimated by the two-line method using Ar atomic lines. The Tandem-MITP could be established successfully, and the Ar excitation temperature in the thermal plasma could be controlled temporally and spatially by the developed system.

012017
The following article is Open access

, , , , and

The present paper describes the first trial application of an Ar/CH4/H2 pulse modulated induction thermal plasma (PMITP) to polycrystalline diamond film deposition on a silicon substrate. The PMITP system has been originally developed by our group to control the heat flux and densities of chemical species in thermal plasma treatment. Polycrystalline diamond film was successfully deposited on a 25×25 mm2 Si(100) substrate using the PMITP. Spectroscopic observation was carried out to measure the time evolution in the spectral intensity from the PMITP irradiated to the Si substrate. We found that the C2 molecular spectra have strong intensities at 4–7 ms after rise-up of the coil current, while the H spectral line has much high intensity at 6–12 ms in a 15 ms modulation cycle. This implies that the PMITP produces repetitive irradiation from different chemical fields in one modulation cycle, which may effectively provide diamond film deposition.

012018
The following article is Open access

, , and

In this paper, we report that enhanced He dielectric barrier discharges (DBDs) using simple and inexpensive device, such as Silicon Diode for Alternating Current (SIDAC) and high voltage transformer at commercial frequency. The SIDAC is designed for direct interface with the ac power line as fast switching and pulse devices. Here, discharge characteristics of He DBDs using SIDACs connected in series are studied experimentally. It can be obtained by using 15 series SIDACs that a rapid voltage change at the SIDAC breakover is dv/dt ~20 kV/μsec and the pulsed DBD current with a duration of ~200 nsec reaches ~1 A, 100 times larger than that of the normal DBD without SIDACs. Emission intensity of He DBD is also increased strongly by series SIDACs to be ~100 times larger compared without the SIDACs.

012019
The following article is Open access

, , , , , , , and

We have developed an equipment of atmospheric pressure plasma with two microwave guide antennas, which have a discharge line with 41 slots. The antennas are set against a stage with a heater in a process chamber. A process gas, which is a 1 % H2 gas diluted by Ar gas and its flow rate is 20 standard litter per minute (slm), flows into the micro-wave guide and goes to a process chamber through each slots. A micro-wave is introduced to the micro-wave guide and the atmospheric pressure plasma grows at each slots. We obtained the electron density of 1×1015 cm−3 and the H radical density of 1×10−16 cm−3 at the slot on the condition of a 10 GHz, 1.5 kWatt, pulsed micro-wave with 2.5 pulsed voltage, 4 kHz pulsed frequency, and a duty ratio of 0.16. We applied this system to improve the quality of the spin-coated Ag firm formed from Ag nano-particle ink. This Ag film showed a resistivity of 32 μΩ cm after annealing on the condition of 180 °C for 30 minutes recommended by the maker (The bulk resistivity of Ag is 1.6 μΩ cm). In order to make the annealing time shorter, we studied the effect of atmospheric plasma treatment of Ag film. We obtained the Ag film of the 5.7 μΩ cm resistivity after the atmospheric pressure plasma treatment under 180 °C for 5 min.

012020
The following article is Open access

, , , and

To generate volumetric atmospheric-pressure plasma, we proposed a dielectric barrier discharge system with stacked insulator-coated comb-electrodes. The large volumetric plasma was generated in each gap and surface of insulators by stacking electrodes. From the spectroscopic measurement of plasma, almost same shaped spectra were measured from 2 to 10 electrodes. In addition, the ozone concentration in plasma was estimated by the absorption spectroscopy. In this result, the constant ozone concentration was measured from 2 to 10 electrodes.

012021
The following article is Open access

, and

A current-voltage characteristic of direct current (DC) gas discharge operated in a micro gas jet injected into a secondary electron microscope (SEM) chamber is presented. Ar gas was injected through a 30 μm orifice gas nozzle (OGN) and was evacuated by an additional pump to keep the high vacuum environment. Gas discharges were ignited between the OGN as anode and a counter electrode of Si wafer. The discharge was self-pulsating in most of the cases while it was stable at lower pressure, larger gap length, and larger time averaged current. The self-pulsating discharge was oscillated by the RC circuit consisting of a stray capacitor and a large ballast resistor. The real time plots of voltage and current during the pulsating was investigated using a discharge model.

012022
The following article is Open access

, , and

The characteristics of a VHF hydrogen plasma produced by a balanced power feeding method were examined using a two-dimensional hybrid model. The simulation results showed that the electron density peaks at a certain pressure inside the discharge electrodes and significantly decreases outside the electrodes for high gas pressure. In addition, the power absorption efficiency inside the electrodes was improved by increasing the gas pressure. On the other hand, the plasma was produced within the electrodes for low applied voltages.

012023
The following article is Open access

, , and

This paper focused on how to suppress filamentous discharge and achieve glow discharge in air. In this study, glow discharge plasma was generated under low pressure by the plate electrode using dielectric barrier. Pulse supply and sinusoidal supply were adopted in this study and their influence on glow discharge were analyzed. Discharge characteristics under different air gap between the electrodes were studied. In addition, we studied the influence of dielectric barrier conditions on the glow discharge. The results show, pulse discharge, shortening the discharge gap and suitable dielectric barrier conditions, can effectively prevent the occurrence of filamentous discharge which contributes to achieve the glow discharge in air.

Applications

012024
The following article is Open access

, , , , and

A novel roll-to-roll deposition method, namely, "through-substrate plane-plate dielectric-barrier discharge," was developed. This method uses a dielectric-barrier-discharge plasma plate, which has antenna and ground lines in a dielectric material, as an electrode. A film substrate was placed on the electrode so that an electric field, which passes through the substrate, generates a plasma above surface of the substrate. This method can avoid unexpected deposition on the electrode, which causes dust-particle contamination. In a preliminary experiment, deposition rates of Si, SiN, and Diamond-Like-Carbon were about 1, 1.5 and 5 μm/min, respectively. When there was a space between the plasma plate and the substrate film, plasma was generated on the back side of the substrate film, and then the deposition on the surface of the substrate film was prevented. This back-side discharge was suppressed by supplying nitrogen gas to the back-side of the substrate film.

012025
The following article is Open access

, , , , , , and

The dry recessed-gate GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure using boron trichloride (BCl3) as etching gas were fabricated and characterized. Etching with different etching power was conducted. Devices with silicon tetrachloride (SiCl4) etching gas were also prepared for comparison. Field-effect mobility and interface state density were extracted from current-voltage (I-V) characteristics. GaN MOSFETs on AlGaN/GaN heterostructure with BCl3 based dry recess achieved a high maximum electron mobility of 141.5 cm2V−1s−1 and a low interface state density.

012026
The following article is Open access

, , , and

We have proposed two novel processes for the formation of fine n/i interface to improve the photovoltaic performance in substrate-type (n-i-p type) hydrogenated microcrystalline-silicon (μc-Si:H) solar cells whose i layer is deposited at high growth rate of > 2.0 nm/sec; (1) gradual monosilane-(SiH4)-molecule-introduction method and (2) amorphous silicon (a-Si:H) thin-layer-insertion method. When applying these two methods to the formation process of n/i interface in the solar cells, drastic improvement of the production reproducibility has been achieved in the fabrication process of high efficiency (> 9%) substrate-type μc-Si:H solar cells.

012027
The following article is Open access

, , and

Polymer electrolyte fuel cell (PEFC) as one of generation devices of electrical power is rapidly expanding the market as clean energy instead of petroleum and atomic energy. Residential fuel cell goes into quantity production and introduction of fuel cell for use in automobiles starts in the year 2015 in Japan. Critical subject for making fuel cell expand is how to reduce cost of fuel cell. In this paper we describe about separator plate which domains large ratio of cost in fuel cell stack. In present time, carbon is used in material of residential fuel cell separator. Metal separators are developed in fuel cell for use in automobiles because of need of mechanical strength at first. In order to make fuel cell expand in market, further cost reduction is required. But the metal separator has problem that by using metal separator contact resistance occurred by metal corrosion increases and catalyst layer and membrane degrade. In recent time we found out to protect from corrosion and dissolution of metals by coating the film of porous free conductive DLC with plasma ion implantation and deposition technology that we have developed. Film of electrically conductive DLC was formed with high speed of 13 μm/hr by ICP plasma, and coating cost breakout was performed.

012028
The following article is Open access

, and

In order to protect surface from damages, the high pencil hardness and the high abrasion resistance are required for the hard coat layers on polyethylene telephthalate (PET) films for the application of touch panel surface. We have already found that the UV-curing-hard-coat-polymer (UHP) coated PET films show the poor abrasion resistance, while they have the high pencil hardness. It reveals that the abrasion resistance of hard coat layers of the UHP is not simply dependent on the pencil hardness. In this work, we have studied to improve the abrasion resistance of SiOC films as hard coat layers, which were formed by PE-CVD process on UHP coated PET. The abrasion resistance was evaluated by Taber abrasion test. PE-CVD hard coat layers which formed on UHP coater PET films have showed the better abrasion resistance and have the possibility of substitution to the thin glass sheets for touch panel application.

012029
The following article is Open access

, , , , , and

ZnO has attracted much interesting as one of unique materials. Especially, it is suitable for the easy fabrication of nano-structures such as rod, wire and tube as well as particles. ZnO nano-rod is one of good sensitized electrodes because it has good electron transfer and is easily fabricated. In the chemical bath deposition process, seed layer plays an important role in the growth of nano-rod. This work investigated and analyzed the effect of seed layer on the growth of ZnO nano-rod. Fabricated nano-rods were applied to dye-sensitized solar cell. For better performance, ZnO was surface-modified by TiO2. Surface-modified ZnO had improved electron transfer and wider surface area. Consequently, the current and fill factor were much improved and overall performance was also enhanced with them.

Materials

012030
The following article is Open access

, and

Boron-rich compounds of AlB12 and YB66 nanoparticles were synthesized in radio frequency (RF) thermal plasmas. Yttrium tetraboride or aluminium powders with 10 μm in diameter and boron powder with 45 μm in diameter were evaporated in the high temperature region of the thermal plasma, and then metal boride nanoparticles were formed in the tail region of the plasma with rapid quenching. Boron-rich compounds were identified by X-ray diffractometry in the product. Polyhedral shaped nanoparticles about 20 nm in size were measured in Al-B system by transmission electron microscopy, while YB66 particles about 50 nm in size were cubic in morphology. The composition of raw powder and the input power of RF thermal plasma were controlled to enhance the content of boron-rich metal borides in as-prepared nanoparticles. Since boron has very high melting point and evaporation temperature, high boron content in the raw powder and high input plasma power were preferable to synthesize AlB12 and YB66 nanoparticles.

012031
The following article is Open access

, and

Experimental study has been conducted to investigate the formation mechanism of transition metal boride nanoparticles in radio frequency thermal plasmas. The effect of nucleation temperature on the synthesis of niobium boride nanoparticles was investigated. The operating condition of powder feed rate plays an important role in the synthesis of boride nanoparticles. The mass fraction of niobium boride in the product was decreased with increasing powder feed rate. The main reason is that the evaporation time of niobium is long and the existence area of evaporated niobium is extended along with the thermal plasma flame at high powder feed rate. The diameter of the product increases along with the increase of powder feed rate due to increased monomer density in the plasma. In addition, high melting and boiling temperatures of niobium leads to high mass fraction over 96% of niobium boride in the collecting filter.

012032
The following article is Open access

, , , and

Carbon doped TiO2 synthesized in a DC-RF hybrid plasma flow system by the solution precursor spraying method was evaluated. The effects of mass fraction of TTB in solution precursor on contents and photocatalytic activity of carbon doped TiO2 were investigated. Highly functional carbon doped TiO2 at 11 wt% TTB had higher UV-visible absorption characteristics, which showed higher degradation rate of methylene blue solution under visible light irradiation.

012033
The following article is Open access

Conducting plasma processes under high or low pressure is an efficient way to affect the heat, mass and momentum exchange in a two-phase flow and this technique is widely used in such well-developed technologies as low-pressure plasma spraying (LPPS) and high pressure plasma-chemical processes. In addition operating pressure is a key parameter in novel plasma process for modification of hollow powders properties. Plasma processing of porous ceramic powders is an effective method of producing hollow spheres (HOSP) with predefined properties. Regardless the method hollow powders were produced their geometric and structural properties can be adjusted by re-melting in plasma of certain pressure: low pressure processing will expand hollow spheres and high pressure – contract it. Regulating the outer diameter of hollow sphere allows adjusting its shell thickness, apparent density, gas pressure in the cavity etc. Preliminary experiments with zirconia hollow powders demonstrated good agreement with theoretical estimations of HOSP properties. The same technique can be used for adjusting properties of ceramic hollow powders produced by different methods, including cost effective fly-ash particles (cenospheres).

012034
The following article is Open access

and

Nanoparticles of iron oxides were synthesized through the ablation of the bulk-targets of Fe, Fe3O4, and Fe2O3 in water by the irradiation of a Nd:YAG laser. Our samples prepared via the laser ablation method displayed small (ca. 1 nm in diameter) and large (over 5 nm in diameter) particles. The small particles were well-dispersed, whereas large particles were agglomerated. The FeO and Fe3O4 phases were formed in the synthesized powders irrespective of the kind of targets. The formation of the other phases, Fe and Fe2O3, changed depending on the the kind of targets used in the laser ablation. Poly(N-vinyl-2-pyrrolidone) (PVP) as a protective reagent was employed to disperse large particles in our samples, and accordingly, their dispersibility was improved as mole concentration of PVP increased.

012035
The following article is Open access

, , , and

We investigated the morphology of silicon nanoparticles prepared using laser ablation in liquid through varying the energy density and laser irradiation time. Silicon nanoparticles were prepared using laser ablation in liquid. A silicon wafer was irradiated in ethanol using a laser beam (Nd: YAG/second harmonic generation, 532 nm). Crystalline silicon nanoparticles approximately 6 nm in size were observed by TEM observation. The quantity of silicon nanoparticles proportionally increased with an increase in energy density greater than the laser ablation threshold. This quantity also increased with an increase in laser irradiation time without saturation due to absorption of the nanoparticles in liquid in the light path.

012036
The following article is Open access

, , and

We report the effects of antimony (Sb) doping on the electrical and optical properties of amorphous carbon (a-C:H) films grown on silicon and copper substrates by magnetron sputtering deposition. For film deposition, the mixture targets fabricated from carbon and antimony powders was used. The atomic concentration of carbon, hydrogen, and antimony, in the film deposited from the 1.0 mol% Sb containing target were 81, 17, 2 at.%, respectively. These elements were homogeneously distributed in the film. On the structural effect, the average continuous sp2 carbon bonding networks decreased with Sb concentration increasing, and defects in the films were increased with the Sb incorporation because atomic radius of Sb atoms is twice larger size than that of carbon. The optical gap and the electrical resistivity were carried out before and after the Sb doping. The results show that optical gap dropped from 3.15 to 3.04 eV corresponding to non-doping to Sb-doping conditions, respectively. The electrical resistivity reduced from 10.5 to 1.0 MΩm by the Sb doping. These results suggest the doping level was newly formed in the forbidden band.

012037
The following article is Open access

, , , , , , and

Interactions between thermal plasmas and synthetic fibers such as polyamide, polyester, phenol and aramid were investigated by thermal plasma irradiation technique. Understanding the above interactions is crucial to design effective flame retardant synthetic fiber clothes with arc resistance to protect a human from arc flash accidents. To investigate the interactions, an Ar inductively coupled thermal plasma (ICTP) was used instead of the arc discharge because the ICTP has high controllability and no contamination. The ICTP irradiation raises polymer ablation in case of polyamide and polyester. Two features of the polymer ablation such as prompt response and durability were fundamentally investigated from viewpoint of shielding the heat flux. It was found that polyamide fiber has both a high prompt response and a long durability.

012038
The following article is Open access

, , , , , , , and

We have investigated characteristics of anatase TiO2 thin film surfaces etched by a capacitively coupled radio frequency N2 plasma from the viewpoint of both an experiment and a simulation. The result obtained with use of the N2 plasma is compared with that obtained with use of the He plasma. The experimental O/Ti ratio at the surface etched by the N2 plasma increases as a function of etching time. The increase in the experimental O/Ti ratio is independent of a change in gas pressure. The above-mentioned experimental results agree with the simulation result that the Ti atoms at the surface are preferentially removed by N2+ ions. The experimental O/Ti ratio at the surface etched by the He plasma also increases, which does not agree with the simulation result that the O atoms are preferentially removed by He+ ions. The O-1s XPS spectra of the surface etched by the He plasma show the peak associated with oxygen and water adsorbed by the surface. In the case where the component of the adsorbed oxygen and water in each spectrum is subtracted, the experimental O/Ti ratio at the surface etched by the He plasma shows a decrease. This is consistent with the simulation result. The adsorption of oxygen and water seems to be caused by ambient air. Morphology of the surface etched by the N2 plasma is almost similar to that of the as-grown surface regardless of the changes both in etching time and in gas pressure. In contrast, morphology of the surface etched by the He plasma changes as the etching time increases. This result is independent of the gas pressure.

012039
The following article is Open access

, , , , , , , and

Mechanically-hard hydrogenated amorphous silicon carbide (a-SiCx:H) films were formed from the decomposition of Si(CH3)4 using the electron-cyclotron resonance plasma flow of Ar. An external radio-frequency (RF) voltage was applied to the substrate with the negative self-bias voltage (−VRF) of 0–100 V. Compositional analysis was made with a combination of Rutherford backscattering and elastic recoil detection analysis. The C/Si ratios of films were 2.2–2.7. Film hardness was measured with a nano-indentation testing equipment. Chemical bonding was analyzed using carbon-K near edge X-ray absorption fine structure (C-K NEXAFS) spectroscopy using an accelerator NewSUBARU. The peak-fitting analysis of the C-K NEXAFS spectra yielded the sp2/(sp2+sp3) ratios, being fully correlated with film hardness. With supported by the IR and Raman spectroscopic measurements, the change of the chemical structure induced by −VRF was discussed.

012040
The following article is Open access

, , and

Nitrogen doped amorphous silicon carbide (N-doped a-SiC) thin films were fabricated by radio frequency plasma enhanced chemical vapor deposition (RF-PeCVD) method using mixed solution of tetramethylsilane (TES) and 1,1,1,3,3,3-hexamethyldisilazane (HMDS) as a liquid source. Chemical composition of N-doped a-SiC thin film was Si:C = 1:4 and sp2-bonded carbon ratio was 0.75. N-doped DLC were multi-phase structure including a-SiC phase, sp2 clusters and a-Si clusters. Optical gap and resistivity of the film were 1.68 eV and 4.32×104 Ω cm, respectively. From photocurrent measurement under UV exposure, it was clarified that the film functioned as n-type semiconductor materials with 4.87 % of quantum yield, which was on the same level as that obtained at anatase-type titanium oxide prepared by sol-gel method. To apply these films to solar cells, further improvements of optical gap and conductivity are necessary.

012041
The following article is Open access

, , , and

The plasma treatment of organic semiconductor molecules includes issues related with nanoscale plasma interfaces. The process was examined by optical emission spectroscopy. It was found that molecules are sublimed by the heat and decomposed by the interaction with the plasma. The product carbon solids were examined by Raman spectroscopy. The raman spectra are strongly dependent on the molecular structures. The carbon solids which does not show graphitic Raman spectra have nanostructures originating from initial molecules.

012042
The following article is Open access

, , , and

Conductive boron-doped hydrogenated amorphous carbon (B-DLC) thin films were successfully synthesized with RF plasma-enhanced CVD method. By incorporating boron atoms in amorphous carbon, conduction types were changed from n- to p-type, and volume resistivity was decreased from 30.4 (non-doped) to 6.36 × 10−2 Ω cm (B/C = 2.500 atom%). B-DLC film with sp2/(sp2 + sp3) carbons of 75 atom% exhibited high resistance to electrochemically-induced corrosion in strong acid solution. Furthermore, it was clarified that boron atoms in DLC could enhance kinetics of hydrogen evolution during water electrolysis at B-DLC surface. B-DLC is, therefore, a promising electrode material for hydrogen production by increasing the concentration of boron atoms in B-DLC and enhancing the reactivity of H2 evolution.

012043
The following article is Open access

, and

High-power pulsed sputtering (HPPS) penning discharge is featured that the plasma is generated at a narrow gap consisting of a pair of cathodes as sputtering target in parallel each other. The magnetic field is provided by setting a set of permanent magnets behind the targets and the electric field is parallel to the magnetic field. The conventional HPPS penning plasma source is disadvantage in a narrow pressure range on the plasma production, and in particular, the lower limit of the pressure is 2 Pa or higher. This problem has been solved by setting an electrically-grounded electrode at the central region of the plasma source. The lower limit of plasma generation is lowered to about 0.5 Pa. In the case of titanium target, titanium films are deposited on the collector electrode for an HPPS Penning discharge in the presence of the inner electrode, and the deposition rate is 16 nm/min at argon gas pressure of 0.5 Pa, where the peak power is 15 kW at power consumption of about 310 W.

012044
The following article is Open access

, , , and

The morphology and roughness of nanostructure of Au film are discussed using an atomic-force microscope (AFM) and a laser Raman spectroscopy. The Au films are deposited on mica and Si substrate by means of a dc plasma sputtering technique, and a self-assembled monolayer (SAM) of benzene-thiol is formed on the Au films. The cluster size and roughness on the surface increase with substrate annealing during the deposition on mica substrate, while they decrease on Si substrate. Raman spectra show a surface-enhanced Raman scattering (SERS) occurs at the optimum roughness RA~0.3 of the substrate.