Table of contents

Volume 30

Number 11S, November 1991

Previous issue Next issue

MicroProcess

2991

, , and

Phase-shifting masks and imaging characteristics are discussed and compared with those of conventional transmission masks. Then, new phase-shifting masks with intermediate values of phase shifting or transmittance are proposed, and their imaging characteristics are investigated. A phase-shifting mask with a 90° phase difference can ease the restrictions on pattern geometries used in phase-shifting technology but does not increase the focus latitude. It is also suggested that a halftone phase-shifting mask is suitable for printing isolated patterns and it gives wider focus latitude than conventional mask technology.

2998

and

Two kinds of improved phase-shifter edge line (PEL) masks have been developed for critical dimension control. One new mask consists of a chrome line and partially overlapped shifter film. In this mask, exposed pattern sizes are controlled by adjusting the chrome linewidth. It has been found that a set of repeated fine-chrome lines ("blind") acts as a uniform light attenuator. The other new mask ("blind PEL mask") has been devised by combining the "blind" and shifter pattern. These new masks make it possible to delineate different-sized fine patterns (≥0.2 µm) at the same exposure dose. With the "blind PEL-mask", unnecessary shifter edge patterns can be eliminated by only one-time exposure.

3004

, , and

Phase shifting technologies achieve significant improvement in both resolution and depth of focus (DOF). We have proposed a "transparent-type" phase shifting mask which has a simple structure (no Cr layer) that considerably improves the resolution limit of optical lithography.1) In this paper, we describe in detail pattern transfer characteristics of the transparent phase shifting mask with i-line steppers. The effects of numerical aperture (NA) and coherence factor (σ) on the resolution capability and DOF were investigated using simulations and experiments. Patterns are printed using i-line steppers with a numerical aperture (NA) of 0.45 and 0.65. A pattern of 0.23 µm lines and spaces is resolved with a 0.45 NA i-line stepper. DOF for 0.25-µm features is found to be in the 1.5-µm range. Well-resolved 0.18-µm lines and spaces are obtained with a 0.65-NA i-line stepper. Transparent phase shifting mask technologies extend the resolution limit of i-line lithography to the resist features below 0.2 µm.

3010

, , , and

Optimization for various phase-shifting masks to fabricate 0.25-µm isolated resist patterns was carried out, and their performances were compared among themselves by simulation. They were evaluated under the assumptions that isolated patterns were located on the same layer with 0.25-µm lines and spaces with the Levenson-type phase-shifters and that the exposure dose was adjusted to the dense patterns. It was found that isolated patterns need to be designed with regard to unavoidable errors in the feature size. None of the isolated line masks studied is effective in improving resolution, except in the case where the Levenson-type phase shifter (shifter edge) can be located. All isolated space masks with optimized dimensions, in contrast, are effective in improving depth of focus for the 0.25-µm isolated pattern.

3016

, and

Because of the high printability of shifter defects in phase-shifting masks, it is worthwhile to characterize the inspection and printing of the shifter defects. The detectability and printability of shifter defects as a function of size and location have been investigated by experiments and simulation. A test mask with various programmed shifter defects was inspected by means of a die-to-die inspection system and printed in positive resist with an i-line stepper. Corner defects are difficult to detect and have low printability. A defect located in small features has high printability. We have also investigated the detectability and printability of the phase angle defects which have phase angles other than 180°. Defects with 120° to 180° phase angles have high printability. Defects with phase angles below 90° are not printed.

3021

, , , , and

Photolithography is a leading technique in LSI device fabrication. The LSI pattern size has approached the exposure wavelength such as the g or i-line of a Hg lamp. This fact indicates that the shorter wavelength or some novel technique will be needed in order to cope with finer patterns. It is known that annular illumination can improve the depth of focus and resolution. We applied the annular illumination method to the step and repeat exposure system. Experiments and simulations using annular illumination were carried out and subhalf-micron patterns were produced. The process latitudes of the annular illumination method are evaluated.

3030

, , , , and

The advent of deep ultra-violet (DUV) lithography using an excimer laser stepper at 248 nm has extended the capability of photolithography in achieving sub-0.5 µm resolution required for the fabrication of 64MB DRAM and 16MB SRAM. This paper reports on the progress of our DUV R&D efforts in working toward this goal. To qualify the stepper, electrical wafer probing was found effective in providing a large volume of data with high sensitivity, accuracy, and precision in the measurements. Advanced resist materials based on the chemical amplification principle demonstrated good sub-0.5 µm resolution, excellent sensitivity, and good etch selectivity required for DUV lithography. However, resist processes for both the positive and negative tone materials still require further optimization incorporating the use of anti-reflective coatings (ARC). Spin-on organic ARC has proven feasible in eliminating both thin-film interference effects and reflective notching. DUV lithography promises to be a strong contender for the next generation of ULSI fabrication, where the limiting factor may lie in the high cost of advanced resist and ARC materials.

3037

Phase and amplitude modulation in a mask can achieve amplitude superposition for multiple images along the light axis and phase control between them. This improves the depth of focus while keeping high resolution under high coherence illumination in optical lithography. This method explains the mechanism of the depth-of-focus enhancement effect in "peripherally added" or "edge-enhancing" phase-shifting masks and provides the most effective phase-shifter arrangement. Because a high coherency is required in this method, however, the proximity effect becomes a serious problem in practical LSI patterns.

3043

, , , and

The construction and the initial beam conditioning of a 1-GeV synchrotron radiation (SR) source facility at SORTEC Corporation were successfully completed in 1989. The regular operation for lithography experiments was started in April 1990, and highly stable operation has been maintained for over one year. At present, the beam lifetime with the stored beam of 200 mA has reached over 50 hours. Due to stable beam refilling into the storage ring, automatic operation for a constant level control of the stored beam current was also successfully confirmed. In this paper, performance of the SR source will be further discussed from the viewpoint of the industrial use of the SR source for X-ray lithography in terms of stable and flexible operation, operation efficiency, utilities and radiation safety.

3048

and

A feasibility study on soft X-ray reduction lithography using multilayer mirrors has been performed. An exposure wavelength range of 80 to 110 Å is proposed as the most suitable with current technology considering the optic design, the reflectivity of the multilayer, and the resist characteristics. With the aim of providing multilayers with very sharp interfaces and high reflectivity, we attempted to improve the multilayer fabrication process, with one result being the fabrication of an amorphous Mo/Si multilayer, by controlling substrate temperature. Experimental results of 0.2-µm pattern replication using a multilayer reflection mask are presented.

3053

, , , and

We investigated the effect of soft X-ray multilayer mirrors on the characteristics of image formation and determined that the phase shift of the X-ray on the mirror plays an important role in image formation as well as reflectivity. Under such a condition that the effect on the characteristics of image formation can be neglected, we designed an optical system that has a resolution of 0.18 µm and 0.25 µm at the wavelength of 5 nm and 13 nm, respectively, and distortion of less than 0.01 µm in a whole exposure field of 20×40 mm2. The necessary surface accuracy of mirrors and tolerance of setting mirrors are discussed.

3058

, , , , , and

X-ray mask fabrication techniques, which are used in X-ray lithography for 0.25-µm devices, are studied in this paper. Initially, the process latitude for both the single- and trilayer processes is discussed according to the simulation results. The bottom layer thickness in the trilayer process was optimized to reduce the influence of backscattered electrons, and a proximity effect correction was introduced for the 0.25-µm pattern fabrication. In order to suppress membrane heating, a reverse-side polyvinyl alcohol (PVA) coating method was developed. The temperature was monitored with a special thermometer, and the effectiveness of the PVA coating was examined.

3065

and

We investigated the reactive ion etching (RIE) of the Ta absorber on X-ray masks using a mixture of chlorine (Cl2) and chloroform (CHCl3) gases. To improve the pattern profiles, we used a gas mixture of chlorine (Cl2) and chloroform (CHCl3) which enhances deposition and protects side wall. We consistently obtained vertical side walls (90°±3°) with a Ta-to-resist etch-rate ratio (selectivity) of 7 and pattern edge roughness below 0.02 µm. The transfer accuracy was 0.00±0.04 µm (3 sigma) using 40% CHCl3 and Cl2 with a gas pressure of 0.2 Torr and power density of 0.8 W/cm2.

3070

, , , and

An X-ray mask with half of a 64-Mbit dRAM chip whose minimum feature size was 0.3 µm wide was developed using a W absorber on a SiN membrane. To precisely control the W film stress, Ar+ ions were implanted into the W film prepared by chemical vapor deposition (CVD). To evaluate mask-to-mask overlay accuracy except that due to electron-beam-writing error, pattern placement measurement marks were delineated by optical exposure. It was found that the overlay accuracy between two masks with different pattern densities could be 0.08 µm (3σ) which is very nearly the limit of measurement accuracy.

3074

, , and

The effects of adding HCl to the chemical vapor deposition source gases on the stress, optical transparency, and surface roughness of a SiC X-ray mask membrane were examined. It was found that the stress dependence on the source gas carbon-to-silicon ratio was changed by adding HCl, and that a SiC membrane with low stress and high optical transparency is obtainable by adjusting these parameters. The surface roughness was about 15 nm from peak to valley under a good condition. The X-ray diffraction results of the SiC membranes showed that the peak sharpness, which indicates the crystal qualities such as densities of various intrinsic defects and the size of each crystal grain, is related to the optical transparency, and that the crystal orientation parameter is related to the surface roughness.

3078

, , and

We have obtained a smoother surface of SiC membranes than that of as-deposited SiC using etch-back and polishing methods, and investigated the influence of surface roughness of SiC films on internal stress and film structure of X-ray absorber films and optical transmittance of SiC membrane. Surface roughness for the as-deposited SiC surface is 15 nm (Ra), whereas that for etch-backed SiC is 6.9 nm (Ra) and that for polished SiC is 4.3 nm (Ra). Optical transmittance of as-deposited SiC membrane is 48% (λ=633 nm) and increases by a few percent with decrease in the Ra value. Internal stress of sputtered Ta tends to show gentler change with increasing surface roughness of SiC film. Film structure of Ta film is largely influenced by the surface roughness of SiC films at low Ar pressure. Sub-half micron absorber patterns with vertical walls, however, have been obtained even on rough surface SiC.

3083

and

The dynamic behavior with three oscillation modes caused by pulsed X-ray exposure in an SiN X-ray mask membrane has been predicted by simulation using the weighted residual method. The displacement caused by thermal distortion cannot take place during pulsed X-ray exposure with a 10-ns pulse duration in the three dynamic response modes: damped oscillation, critical damping, and overdamping. The damped oscillation mode with an overshooting effect is caused by an elastic wave effect after a 1-µs time lapse. According to the pulse duration effect, the dynamic behavior is divided into three regions: quasi-static, transient, and saturated regions. For the quasi-static region, the elastic wave effect and three oscillation modes can be neglected. Differences in the dynamic behavior occur in the transient region. In the saturated region, the displacement cannot take place during the pulsed X-ray exposure, due to the elastic wave effect.

3088

This paper describes the categories of electron beam lithography tools which to us seem to be of most importance. The first is a thermal field emission source gaussian round beam system. The overall performance to accomplish 0.05 µm imaging capability is presented. The second is a finely tuned variably shaped beam system which has the capability of delineating 0.15 µm dimensions at moderate throughput. Both systems have been integrated into a lithography sector with resist and process engineering as an integral part. We have applied this complete lithography sector to a variety of device runs ranging from 0.25 µm fully scaled all e-beam lithography, partially scaled mixed with optics, to mixed e-beam with e-beam lithography for less than 0.1 µm dimensions. Several non-direct write applications have been performed, such as the fabrication of 0.15 µm X-ray test masks and also phase shifting masks, for which we need the accuracy and registration capability of a high resolution direct write tool.

3093

and

The 0.2µm line delineation capability of electron beam direct-writing is estimated by computer simulation. The effects of acceleration voltage and beam sharpness are evaluated by looking at process latitudes. The process latitudes refer to dose and development time latitudes, where proper resist profiles are obtained. The process latitudes are compared for acceleration voltages of 30 and 50 keV; and beam blurs of 0.0, 0.05, and 0.1 µm. For patterning on a bare Si substrate, an acceleration voltage higher than 30 keV with beam blur less than 0.1 µm can fabrlcate 0.2 µm lines. However, a 50 keV acceleration voltage is required for patterning on a W layer, because 30 kev, even with 0.0 µm beam blur, has only a small dose latitude.

3098

, , and

A new exposure technique called block exposure was examined in order to increase the throughput of direct writing of memory LSI devices using an electron beam. With this technique, an electron beam is projected to a block of aperture patterns in the stencil mask to change the beam shape. Frequently used LSI pattern components are defined as blocks to be reused during the exposure. Patterns that are rarely used are exposed by using a variable-shape beam. With the demagnification ratio of one percent, masks are easy to fabricate and very reliable. The patterns with a 0.13-µm minimum feature size are well projected on a single-layer resist. With block exposure, any shape can be accurately transferred irrespective of the pattern shape or size. The throughput of about ten 8-in wafers per hour is estimated for several pattern layers of a 64-Mbit dynamic random-access memory (DRAM).

3103

, , , , and

The representative figure method has been proposed in previous papers to reduce the processing time for proximity effect correction. The Phantom, which is an application of the representative figure method to Ghost, assigns only one representative rectangle in each small area instead of the inverted original patterns, and the rectangles are exposed with a defocused beam. From the results of numerical calculation and experiment, there is little difference in correction effect between Phantom and Ghost, even if the small area size is nearly equal to the electron backscattering range. So, the small area size can be set as large as the backscattering range. It is shown that the same correction accuracy as Ghost can be obtained by Phantom. The correction exposure time can be reduced to 1/25∼1/500 that of Ghost by Phantom.

3108

, , , , , and

Different dry developable resist systems operating in positive bilayer (Si-CARL) and negative top surface imaging (Top-CARL) modes were investigated for application in 248 nm lithography. After exposure with the KrF excimer laser projection aligner (NA=0.37), development, aqueous silylation and oxygen reactive ion etching, 0.25 µm structures were obtained as the ultimate resolution of Si-CARL with a topresist containing diazoketone photoactive compounds. The process latitudes for 0.4 µm and 0.5 µm lines and spaces amount to 25% and 30% for exposure and to 3 µm and 3.2 µm for defocus, respectively. Comparable values were determined for an alternative Si-CARL system with a new acid catalysed topresist. The required doses for zero bias exposure are 65-70 mJ/cm2 (diazoketone) and 21 mJ/cm2 (acid catalysed). The Top-CARL resist also utilizes the acid catalysed deprotection chemistry for selective silylation of the exposed areas. The preliminary formulation presented well-shaped 0.35 µm structures at 10 mJ/cm2.

3116

, and

This paper deals with a negative bilevel resist which is based on the acid-catalyzed condensation reaction of poly(siloxane)s. The resist systems consist of photoacid generators and poly(siloxane). Ph3S+OTf- reveals an efficient activity for silanol condensation and the system has a high sensitivity of 0.31 mJ/cm2. Tetrafunctional silane plays the role of crosslinker in this system. Sensitivity improvement of low-sensitivity systems such as benzoin p-toluenesulfonate/poly(siloxane) can be achieved by this method.

3121

, , and

Microgrooves, by our definition, mean the deformed bottom profile-a protrusion and an undercut-of photoresist patterns, a problem encountered in high-resolution photoresist involving a postexposure bake (PEB). Microgrooves degrade process stability. In studying the relationship between microgrooves and photoresist materials, we found that microgrooves can be suppressed by reducing the content of low-molecular-weight novolak resin, and they are caused by excess dissolution inhibition and imbalanced solubility.

3125

, , , and

In order to understand the basic mechanisms working in the dissolution of phenolic resin/diazonaphthoquinone (DNQ) positive photoresists, several polyhydroxystyrene derivatives have been studied in terms of their dissolution capabilities. The influence of the structure and the molecular weight distributions of phenolic resins on the inhibition effect were examined. In phenolic resins which have alkyl groups ortho to the hydroxy group a strong dissolution inhibition effect is found. As for the molecular weight distribution, the mixtures of a higher-molecular-weight polymer with lower dissolution rate and a lower-molecular-weight polymer with higher dissolution rate give strong dissolution inhibition by DNQ.

3132

, , and

The diffusion of photogenerated acid in chemical amplification resist systems was examined by a newly developed method which allowed an estimation of the diffusion range by simple means. The acid mobility was investigated for various process conditions. It was found that prebake and post-exposure-bake conditions have strong influence on the mobility of acid. The diffusion range of acid is much larger than values estimated from the catalytic volume. Large differences in diffusion characteristics were found for two different resist systems. The diffusion of various sulfonic acids decreased strongly with increasing molecule size.

3138

, , , , , , and

Prebake effects in chemical amplification electron-beam resist SAL601-ER7 have been investigated. Resolution of the resist is found to be greatly dependent on the prebake conditions when a thick resist film is used. The results of FT-IR and GC/MS analysis suggest that differences in the resolution between thin and thick resist films are due to the residual solvent content which depends on prebake temperature. Because the residual solvent in the resist film is thought to act as a kind of reaction medium, it can be concluded that it affects the diffusion length of the acid catalyst.

3142

, , , , , and

It is shown that high-performance Electron Cyclotron Resonance (ECR) plasma (hyper-ECR) is effective as a dry etching technique for fabrication of VLSI devices. The gradient of the magnetic field is one of the most important parameters for a uniform high etch rate. The Si etch rate and the ion current density can rise with decreasing magnetic field gradient. The high uniformity of the etch rate is achieved with highly uniform distribution of the magnetic field gradient. The density distribution of plasma is also improved by the hyper-ECR, so the drift instability is stabilized and a no-microloading etching process is produced.

3147

Electron cyclotron resonance (ECR) plasma generation is influenced by the magnetic field profiles in ECR plasma. When an 875 G equimagnetic field and magnetic field gradient are nonuniform, the nonuniform plasma is generated around the ECR position (875 G position). Uneven plasma discharge causes ion acceleration and disturbs the ion flight directions due to the potential differences in ECR plasma. Therefore, a uniform magnetic field gradient at the ECR position and the flat 875 G equimagnetic field profile are necessary to achieve a precise pattern transfer without microloading effects.

3154

A radio frequency (RF) biased electron cyclotron resonance plasma etching technology has been developed to realize efficient ion acceleration in high-density, uniform ECR plasma. 400 kHz RF-biased ECR position etching can achieve uniform and efficient ion acceleration, and prevents charge build-up damage to the thin gate oxide. Conversely, at frequency of more than 700 kHz, the plasma is disturbed by the local discharge between the grounded chamber wall and the substrate holder. Then, the gate oxide breakdown is caused by the stored charge due to the potential difference on the substrate.

3159

, , , and

A compact electron cyclotron resonance (ECR) plasma-etching reactor employing Sm-Co magnets was studied. A flattened rectangular waveguide with a slot antenna enabled this ECR system, and the plasma was generated with high density of 2×1012 cm-3 at 1×10-3 Torr of Ar. The silicon etch rate in NF3 was limited at low-gas flow rate because of the redeposition of the etching products dissociated by high-density plasma; but, by increasing flow rate, 5000 Å/min was achieved at 1×10-3 Torr under the floating potential of 15 eV. The RF bias of 100 W (430 V of the self-bias) offered a very high etch rate of 2.3 µm/min. The directional feature was achieved at 25 W RF bias (180 V of the self-bias) at 5×10-4 Torr. Further, the multi-slot antenna which was excited by independent microwave sources turned out to improve the etching uniformity considerably.

3164

, , , and

A new compact ECR plasma source has been developed. The characteristics of this source and it's applications are discussed. Irradiation by oxygen radicals O* for the oxidation during deposition process was found to produce high quality superconducting thin films with increased characteristic temperatures. Hydrogen radical H* beam cleaning of GaAs substrate surfaces was achieved at temperatures as low as 100°C.

3169

, and

Charge build-up in magnetron-enhanced reactive ion etching (MERIE) was evaluated with metal nitride oxide semiconductor (MNOS) capacitors. In static magnetic field, negative flat band voltage (Vfb) shifts of more than -1.5 V were observed in the area under high-density plasma, and more than 2-V Vfb shifts were observed at the edge of the wafer near the N and S poles. This distributed Vfb shift was considered to result from nonuniform plasma potential caused by secondary electron E×B drift motion. In rotated magnetic field, Vfb shifts were reduced. No significant Vfb shifts were observed when the magnet was rotated at 120 rpm. The Vfb shift reduction in rotated magnetic field was supposed to result from charge neutralization by alternate charge build-up.

3174

, , , , and

The influence of halogen plasma atmosphere on SiO2 dry etching characteristics has been investigated using various halogen gases (SF6, Cl2, HBr and HI). It was found that in Cl2 and HBr plasma atmospheres, when Si and SiO2 are etched simultaneously, the SiO2 etch rate increases to more than 4 times larger than the SiO2, etch rate obtained when only SiO2 is etched. It was also found that the SiO2 etch rate increases linearly in proportion to the total amount of silicon halide produced by etching Si. Low-order silicon halides such as SiX and SiX2 (X=Cl or Br) connect with oxygen atoms in solid SiO2 by Coulomb force since both silicon halide and SiO2 are electrically polarized. Silicon oxyhalide (e.g., SiOX) as an etching product of SiO2 is produced and desorbed by ion sputtermg or thermal evaporation. This is because that the Si-O bond strength in solid SiO2 (108 kcal/mol) is weaker than that in a diatomic molecule (191 kcal/mol) composed of a Si atom from silicon halide and an O atom from SiO2. Consequently, SiO2 etching progresses when silicon chloride or silicon bromide is contained in the plasma, which drastically decreases the etching selectivity of n+ poly-Si to SiO2.

3178

, , and

The processes used for the surface planarization of the interlevel dielectrics which is one of the major problems of the multilevel interconnection CMOS technology, are becoming increasingly sophisticated. As a consequence, the reproducibility of the total process requires an accurate control of these technics in order to increase die yields. The DOPED method, developed for a non-contact on-line monitoring of flow annealing of BPSG films has been applied to the "cold" planarization techniques implemented in the CNET 0.7 µm technology. Results are obtained for TEOS deposition and etchback techniques and SOG total etchback.

3182

, , , and

Selective etching of Al2O3 thin films on GaAs immersed in a H3PO4 aqueous solution by irradiation with excimer lasers is demonstrated. The dark etching of Al2O3 films (0.4 nm/min) is negligibly small compared with that of the laser-induced etching. It is found that the process cannot etch the GaAs substrates. Therefore, the etching stops at the Al2O3/GaAs interface, and the Al2O3 films are selectively etched only in laser irradiation regions. The etching characteristics of different wavelengths of ArF and KrF excimer lasers are also discussed.

3186

, and

The nonlinear growth of periodic surface ripples in laser-induced etching of GaAs was investigated for different spatial periods below 200 nm. Growth gains of etched grooves were more than 5%/min at the above periods both experimentally and theoretically.

3190

and

GaAs dry etching using a showered electron beam (EB) through Cl2 gas has been studied. The etching rate of EB-assisted etching is twice that of Cl2 gas etching, and the etching yield is estimated to be 130 atoms/electron. A GaAs pattern with a 0.3 µm linewidth has been obtained by using a resist mask. It was confirmed through measuring photoluminescence that the damage induced by EB-assisted etching is nearly the same as that caused by gas etching, and less than the damage induced by reactive ion beam etching or ion beam etching. A reverse dry etching technique is demonstrated whereby a surface layer of carbon formed on the substrate resulting from EB irradiation is used as a mask for EB-assisted etching. High-selectivity is obtained between the carbon mask and GaAs. Using this technique, a 0.6 µm linewidth reverse pattern is transferred.

3195

, , and

Si films were grown on Ge substrates by Synchrotron Radiation (SR)-excited crystal growth around the low substrate temperature limit for epitaxial growth. From the observation of Reflective High Energy Electron Diffraction and Raman scattering spectra, it was found that the crystallinity of the Si film was clearly improved by SR irradiation. From the temperature dependence of the growth rate, it was confirmed that SR irradiation greatly enhances the growth rate in the lower temperature region below 500°C.

3199

, , , and

The radiation damage in SiO2 films thermally grown on silicon and bombarded by ion and neutral beams has been studied by electron spin resonance (ESR). It is found that the E' center generation yield is much higher for ion bombardment than for neutral bombardment in spite of the same kinds of atoms and the same incident energy. The generation yield of ion-induced E' centers depends on the incident energy and ionization energy of the parent atom. On the other hand, for neutral bombardment, it depends only on the incident energy. These results indicate that the neutral-induced E' centers are created by bond breaking due to a collision cascade. However, ion-induced E' centers are generated by both collision cascades and carriers (electrons and holes) induced in the SiO2 film by ion neutralization.

3203

, , , and

Hydrogen (H) plasma excited by electron cyclotron resonance (ECR) offers a powerful technique for cleaning semiconductor surfaces at low temperature and for realizing atomically flat surfaces. This paper evaluates the neutralization of impurities near semiconductor surfaces during the H-plasma cleaning process, which is known as the hydrogenation effect. It was found that hydrogenation in the present ECR cleaning process is quite different from that in the usual radio-frequency plasma. It was found that hydrogenation with the H-plasma excited with ECR is dependent on the angle of incidence of the plasma beam and is also dependent on the presence of the surface oxide and on the related surface flatness in the atomic level.

3209

, , and

Thermally grown SiO2 films were irradiated by synchrotron radiation at room temperature and changes in film properties were analyzed to clarify the irradiation effects and explain the mechanism of the photo-stimulated desorption (PSD) of SiO2. The irradiated SiO2 was chemically weakened throughout the film of 89-nm thickness by Si-O bond breaking following core electron excitation. The broken bonds were recombined by postannealing at 850°C. The irradiated surface was deoxidized by oxygen desorption and the remaining Si-rich layer was evaporated by postannealing over 700°C. Results indicate that irradiation effects are bond breaking and the desorption of oxygen and that thermal effects are the recombination of broken bonds and the evaporation of the deoxidized layer. It is supposed that the PSD of SiO2 at elevated temperature consists of photo-desorption of oxygen and thermal evaporation of SiO.

3215

, , and

The oxidation process of H-terminated amorphous Si film on Ge and the reaction mechanism of the triethylsilane (TES)/H system which allows us to deposit an organic Si film conformably have been investigated employing in-situ FTIR(Fourier transform infra red)-ATR(attenuated total reflection). This measurement was demonstrated to be a sensitive and simple method to inspect the role of H(hydrogen) in their surface reactions. In the Si oxidation case, the H-terminated Si is readily oxidized by O(oxygen) atoms. The oxidation with O2 molecules proceeds gradually as the breaking of Si-H bonds and forming of H-Si-O bonds due to binding of O atoms with the back bond of Si for 700 min. After about 700 min, dissociated O atoms rapidly penetrate the Si film, and oxidize the bulk Si, leaving both Si-H and H-Si-O bonds still on the Si surface. Next, in the TES/H reaction system, gas phase FTIR spectra obtained by reactions of H atoms or H2 molecules with TES do not show appreciable change in a wide range of pressure. Nevertheless, in-situ FTIR-ATR reveals that TES reacts easily with H atoms on the surface, desorbing H2, methyl and ethyl groups.

3219

, , , and

Intense vacuum ultraviolet laser radiation is generated from rare gas excimer lasers. 9.8 eV photons from an argon excimer laser change surfaces of SiO2 to silicon. The reaction proceeds without the aid of reactive gas or solution and is thus called the "superdry process". 9.8 eV photons create excitons via an efficient one-photon absorption process, and then these high-density excitons induce bond-breaking between Si and O.

3223

, , , , and

Device charging in the ion implantation is evaluated by using two different types of electrically erasable-programmable read-only memory (EEPROM) devices and two different types of metal-oxide-semiconductor (MOS) capacitors. The averaged charging voltage is measured by the turn-on voltage shift (ΔVT) of a grounded source EEPROM, while the transient charging effect is detected by a floating source EEPROM. The yield of the MOS capacitor reaches its maximum when the grounded source EEPROM shows the minimum ΔVT. The effects of the charge-collecting electrode area and substrate type of the MOS capacitor are also examined.

3228

, , and

Low-energy ion bombardment during film growth can significantly modify film properties. The advantages of using the ionized cluster beam (ICB) deposition techniques include reduced damage and high deposition rates at low temperature. The long-lived ICB source without the electron extractor is designed for an in-house experiment of aluminum deposition. In the initial experiment for aluminum metallization, low resistivity and highiy preferrentially oriented Al films were obtained at acceleration voltages from 0.5 to 1.0 kV and at room temperature. This ICB source provides uniform ion current profiles within ±10% at deposition rates up to 0.1 µm/min over a 254 mm-diam substrate. It has been operated for more than 300 µm-thick Al film deposition without any maintenance.

3233

, and

Focused ion beam induced deposition (FIB·ID) in a high current density region is investigated. High current density experiments are done with current densities of 1.3 A/cm2 and 8.6 A/cm2. A 30 kV Ga+ beam is line-scanned at various scanning speeds over a SiO2 substrate in a W(CO)6 gas environment. To analyze these results, a conventional model is improved by taking into consideration FIB scanning and impurity effects. The FIB·ID is simulated in a wide current density region using the improved model. It is found that shortening the dwell time is more effective than increasing the precursor gas flux for the high current density FIB·ID.

3238

, , and

Focused ion beam (FIB)-induced deposition of silicon oxide in terms of filling a hole is reported. It was found that a vacant space was formed when an ion beam was simply scanned through the hole area. To investigate the mechanism to form the vacancy, deposition on the sample, which has a step with a height of 0.8 µm, was carried out by using a Si2+ and a Be2+ ion beam. An extruded deposit resembling a pent roof was observed from the step ridge. The mechanism of the pent roof growth on the steplike sample was considered and the vacancy formation in the hole can be explained by the same mechanism. For silicon oxide, the high growth rate of the extruded deposit is thought to be the key to the vacancy formation. A useful way is proposed to fill the hole with silicon oxide with almost no vacancy.

3242

, , , and

We have investigated the characteristics of ion-beam-induced spontaneous etching (IBISE) of GaAs in Cl2 ambient by using a Ga-focused ion beam (FIB) with an energy ranging from 3 to 15 keV. The etched depth of the irradiated region was more than 20 times greater than that of unirradiated region. When the sputtered depth by FIB irradiation amounted to around 8 Å at each ion energy, the etched depth in Cl2 ambient for 1 hour became saturated. The saturated etched depths were 450, 550, 750 and 800 Å at the ion energy of 3, 5, 10 and 15 keV, respectively. The residual damage of the etched surface was also investigated by photoluminescence (PL) measurement. The maximal PL intensity was obtained at around the threshold dose of IBISE and increased with decreasing ion energy. The full recovery of PL intensity was observed at the ion energy of 3 keV after annealing at 400°C.

3246

, , , and

Ultrafine patterning of refractory metals has been studied by the use of focused ion beam (FIB) and oxide resists. Thin amorphous films of MoO3 and WO3 were deposited by electron beam evaporation onto Si wafers, and were exposed to a 50 keV Ga+ FIB. Developed resist patterns were directly reduced to Mo and W by heat treatment in H2 gas atmosphere. The linewidth before and after reduction was measured as a function of the line dose. It is demonstrated that from a bilayer resist MoO3/WO3, a 50 nm refractory metal line can be controllably fabricated without any other complicated processes. Some information about the electrical properties of the reduced patterns is also presented.

3250

, , , , , , , and

We have studied electron transport properties on an artificial periodic and quasi-periodic array of scatterers. Scatterers are introduced in two-dimensional electron gas of a GaAs-AlGaAs heterojunction by employing electron beam and dry etching, or by damaging of direct focused ion beam irradiation. Novel magnetoresistance oscillations are observed at low magnetic field. It was found that the dominant features in the data arise from commensurate classical orbit.

3256

, , , , , , , , , et al

We present the fabrication process of narrow quantum wires in both In1-xGaxAs/InP and GaAs/Ga1-xAlxAs systems based upon e-beam lithography, lift-off, reactive ion etching and MOCVD overgrowth. The carrier lifetime, deduced from low temperature time-resolved photoluminescence, remains of the order of one nanosecond in both systems, showing the good quality of the overgrown interfaces. An efficient capture of the carriers created in the barrier material into the wires is demonstrated. One-dimension quantum confinement effects are observed for the lowest lateral sizes: in In1-xGaxAs/InP wires (width down to 15 nm), quantum shifts of the low temperature cw photoluminescence peak (up to 30 meV) are observed while in GaAs/Ga1-xAlxAs wires (width down to 20 nm), we report shifts up to 10 meV of the emission peak.

3261

, , , , , , , , and

Transport properties of a 2 dimensional electron gas (2DEG) system at low temperature have been investigated from Hall effect and magnetoresistance measurements to reveal the effects of irradiation-induced defects. Ar ions were irradiated at an energy between 20 and 100 eV with a dose up to 1016/cm2. The decreases in low temperature mobility and scattering time determined from magnetoresistance oscillation are observed in the 20 eV ion-irradiated sample where sheet carrier concentration is not affected. The induced defects are sensitively detected from the change in mobility in high-quality samples even for lightly damaged cases. A nearly complete recovery of mobility obtained after 400°C annealing suggests that defects induced by low-energy irradiation readily disappear.

3266

, , and

A high energy 50-kV electron beam direct writing system which has a gas introduction line has been developed. Several aspects of the performance of this system are demonstrated. The electron beam size has been improved to be less than 5 nm. 10-nm width line patterns with 50-nm periods in PMMA resist on a thick Si substrate are demonstrated. It is observed that fewer proximity effects occur when a high-energy electron beam is used. 20-nm-width lines and 20-nm-diameter Au-Pd metal patterns have been fabricated by a lift-off method. 14-nm-diameter carbon dot patterns were deposited on a Si substrate by electron-beam-induced deposition using Styrene gas.

3272

, , , , and

A novel type of electron blprism was made using a microprocess technique. This electron biprism is composed of several filaments lined in parallel on a silicon nitride membrane. Using this type of multi-biprism, we observed an electric field by electron interferometry and confirmed the potentiality of extending this technique to a local electric field.

3277

, , and

CMOS devices with very short poly-Si gates of 0.1 µm are fabricated by electron beam lithography. A multilayer structure of a thin (160 nm) resist combined with a thin (15 nm) oxide layer above the poly-Si layer (100 nm) is introduced to define small patterns for the gates. The fabricated 0.1 µm devices with low-impurity-channel transistor (LICT) structures achieve normal I-V characteristics and fairly good subthreshold characteristics both at room and low temperatures.

3282

, and

Experimental and numerical approaches have been taken to the study of the brightness of scanning electron microscope(SEM) images for bottom surfaces of deep holes and trenches by Cyclotron SEM. Secondary electrons emitted from the bottom are efficiently collected as an image signal by a couple of the following combinational effects. One is an electrostatic converging lens due to accumulated negative charges on the side wall of holes and trenches. The other is a strong magnetic field above the specimen surface generated by an immersion-type objective lens. The former plays the role of reducing the number of electrons which collide with the side wall. The latter binds diverged secondary electrons leaving from the specimen surface and introduces electrons into a detector.

3287

, , and

Topographic contrasts found in a image of the scanning electron microscope are quantitatively discussed. We quantify various contributions separately to the total signal intensity of the image, using a simulation which takes into account electron trajectories in and out of the specimen surface. This analysis indicates that the pattern edge shows higher contrast at lower beam energy if the beam diameter is zero, but on the contrary, the same edge shows higher contrast at higher beam energy if the beam diameter is fairly large. It is also found that the signal from the side wall at the pattern edge gives the major contribution for determining the characteristic shape of the edge contrast for both intensity profiles of secondary and backscattered electron signals.

3294

and

A novel electron beam (EB) spot size measurement method is proposed. In this method, EB spot size is obtained from the relationship between the width of the trenches in the reference sample and the intensity profile of backscattered electrons. Selective chemical etching of a GaAlAs/GaAs superlattice produces an ideal structure for the reference sample. Such superlattice is fabricated by metalorganic chemical vapor deposition and trench widths are verified by transmission electron microscopy. Using 0.6 µm deep and 8.5-65 nm wide trenches, nanometer-level EB spot sizes can be accurately measured.

3298

, , and

A scanning tunneling microscope (STM) is a highly effective tool for observing a microfabricated pattern. However, it is difficult to measure sidewall roughness using a conventional STM because of the restriction of the tip shape and one-dimensional servo system. The main objective of this study is to develop a sidewall roughness characterization tool. The electron-beam deposition method is applied to preparing a novel STM tip shape. A two-dimensional servo system, with a subnano-vibration mode to provide vibrations below 1 nm for x- and z-directions to a tip during scanning, has been developed for sidewall roughness measurement.

3302

, and

A field-induction-drain (FID) poly-Si thin-film transistor (TFT), in which an electrically induced layer is used as a drain, is proposed. This TFT achieves a low OFF current and a high ON/OFF current ratio by simply incorporating an additional gate electrode. Moreover, the structure can be modified to make it suitable for complementary MOS (CMOS) applications. In this unified-structure FID (UFID) TFT, n-channel operation and p-channel operation are interchangeable. This paper presents this electrically induced layer which works well as a TFT drain and has beneficial influence on TFT characteristics.

3308

, , , , , and

In high-definition thin-film-transistor liquid-crystal displays (TFT-LCDs), it is important to establish the stability of liquid-crystal molecule alignment. In this paper, we describe the relation between pretilt angle and reverse tilt disclination in the high-definition TFT-LCD at 60°C. We conclude that a pretilt angle of more than 3° is required to prevent the occurrence of the reverse tilt disclination. Next, we consider this result, using a brief computer simulation on the electric field distribution in the TFT-LCD. Then, we describe the temperature dependence of the pretilt angle. We conclude that we can control the magnitude of pretilt angle by changing liquid crystal, polyimide material and process conditions, but the pretilt angle decreases at the same rate as the temperature becomes higher, without dependence on these parameters.

3313

and

Printing methods are attractive as possible methods for producing low-cost color filters. In this paper, waterless offset, gravure offset, and the ink cure method which is an improved gravure offset were studied. By optimizing the ink properties and the blanket conditions in the gravure offset, fine lines with a width of 15 µm and 100-µm-wide lines without any pinholes could be reproduced. Additionally, 20-µm very fine lines could be achieved by using the ink cure method. By forming both black matrix (BM) and color layers using a printing process, there is great potential for considerable cost savings in the production of active matrix liquid-crystal display color filters (LCD-CFs).