This site uses cookies. By continuing to use this site you agree to our use of cookies. To find out more, see our Privacy and Cookies policy.
Topical Review The following article is Open access

Atomic layer deposition of thin films: from a chemistry perspective

, and

Published 14 June 2023 © 2023 The Author(s). Published by IOP Publishing Ltd on behalf of the IMMT
, , Citation Jinxiong Li et al 2023 Int. J. Extrem. Manuf. 5 032003 DOI 10.1088/2631-7990/acd88e

2631-7990/5/3/032003

Abstract

Atomic layer deposition (ALD) has become an indispensable thin-film technology in the contemporary microelectronics industry. The unique self-limited layer-by-layer growth feature of ALD has outstood this technology to deposit highly uniform conformal pinhole-free thin films with angstrom-level thickness control, particularly on 3D topologies. Over the years, the ALD technology has enabled not only the successful downscaling of the microelectronic devices but also numerous novel 3D device structures. As ALD is essentially a variant of chemical vapor deposition, a comprehensive understanding of the involved chemistry is of crucial importance to further develop and utilize this technology. To this end, we, in this review, focus on the surface chemistry and precursor chemistry aspects of ALD. We first review the surface chemistry of the gas–solid ALD reactions and elaborately discuss the associated mechanisms for the film growth; then, we review the ALD precursor chemistry by comparatively discussing the precursors that have been commonly used in the ALD processes; and finally, we selectively present a few newly-emerged applications of ALD in microelectronics, followed by our perspective on the future of the ALD technology.

Highlights

  • The surface chemistry of the gas–solid ALD reactions is reviewed, and the associated mechanisms are elaborately discussed.

  • The ALD precursor chemistry is reviewed by comparatively discussing the precursors that have been commonly used.

  • Newly-emerged applications of ALD in microelectronics are presented.

  • Future perspectives of the ALD technology are outlined.

Export citation and abstract BibTeX RIS

Original content from this work may be used under the terms of the Creative Commons Attribution 4.0 license. Any further distribution of this work must maintain attribution to the author(s) and the title of the work, journal citation and DOI.

1. Introduction

Following the Moore's law, the critical size of the microelectronic devices has been continually shrinking over the decades to boost the performance of the integrated circuits (ICs) [1]. As the device critical size gradually approaches its limit, more efforts have been made to explore new materials and 3D device structures to further extend the Moore's law. Owing to the limitation by short-channel effects, non-planer field-effect transistors (FETs), such as fin FETs (FinFETs) [2] and gate-all-around (GAA) FETs [3], have been gradually adopted in the microelectronics industry. To meet the requirements of fabricating chips with these complex structures, it is very important to use a deposition technology that can controllably afford highly uniform conformal thin films on 3D topologies. Atomic layer deposition (ALD) is an essential technology for these purposes. ALD is based on sequential and self-limiting gas–solid chemical reactions, and owing to the self-limiting nature, the composition and thickness of the deposited thin films can be precisely controlled at the atomic scale [46]. Also, ALD is able to deposit highly uniform films in trench or hole structures with a high aspect ratio, which can hardly be achieved by the conventional physical vapor deposition (PVD) or chemical vapor deposition (CVD). Over the past decades, along with the downscaling of the microelectronic devices, ALD has become extensively used in numerous key process steps in the advanced technology nodes of the IC fabrication, such as the deposition of ultrathin high-k oxides for the gate-dielectrics in FETs [7, 8] and the capacitor-dielectrics in dynamic random access memory (DRAM) [9, 10], the deposition of the spacers for self-aligned multi-patterning [11, 12], etc. Also, ALD is essential for the preparation of many newly-emerged microelectronic devices, such as the back-end-of-line (BEOL)-compatible oxide-based transistors [1315]. Therefore, the ALD technology has been considered as a vital enabler to realize new device structures for ICs.

The ALD technology relies heavily on the involved surface chemistry, which can significantly influence the properties of the deposited films, such as film thickness, morphology, conformality, and composition. Therefore, a comprehensive understanding of the surface chemistry and associated mechanisms is very important. The ALD precursors are also very important; they are usually metalorganic compounds, and their volatility, thermal stability, and self-limiting reactivity can substantially affect the growth behavior of the ALD films. Therefore, the design of the precursor chemical structures is of the pivot for the ALD technology.

To address the above issues, this review focuses on the chemistry aspect of the ALD technology. The chemistry involved in the ALD surface reactions is firstly reviewed, and the associated mechanisms are elaborately discussed. Then follows the review of the precursor chemistry, and the precursors that have been commonly used are carefully discussed. Finally, the applications of the ALD technology for some newly-emerged areas in microelectronics are discussed. Certainly, we cannot review every aspect of the ALD technology, given its already very wide applications, and the readers may refer to some other published reviews for more information about ALD [1630].

2. Surface chemistry of ALD

ALD is essentially a variant of CVD. An ALD process relies on two or more self-limiting gas–solid chemical reactions, which are controlled to proceed in an alternate and cyclic manner. As shown in figure 1(a), a typical ALD process consists of a cycling of four steps: (I) excessive amount of precursor A is dosed into the chamber to react with the functional groups on the solid surface, and the reaction is self-limiting and the byproduct is volatile; (II) the chamber is purged by inert gas to completely remove the byproduct and unreacted A; (III) excessive amount of precursor B is dosed into the chamber to react with the functional groups formed in (I), and the reaction is also self-limiting and the byproduct is also volatile; and (IV) the chamber is again purged by inert gas to completely remove the byproduct and unreacted B.

Figure 1.

Figure 1. Characteristics of ALD. (a) Schematic illustration of an ALD cycle. (b) Saturation behavior of the precursor chemisorption. (c) Temperature dependence of the ALD film growth rate.

Standard image High-resolution image

The key point of ALD is that the gas–solid chemical reactions should be self-limiting, and this feature is illustrated in figure 1(b), where the gas–solid chemical reactions can be regarded as the chemisorption of the precursor on the solid surface and the chemisorption saturates as the precursor exposure amount exceeds a certain point. The saturated chemisorption can afford a fixed amount of material grown on the surface in each ALD cycle. Therefore, a uniform thin film of material can be conformally coated on any structured surfaces, and at the same time, the film thickness can be precisely controlled by the number of the ALD cycles. On the other hand, insufficient precursor exposure would lead to unsaturated chemisorption on the surface, and the remaining active surface sites are still capable of adsorbing a second type of precursor. This strategy has been used in the ALD of multi-element compounds. For instance, a ternary oxide Ax By Oz can be deposited by the pulsing sequence of the A, B, and O precursors, where the pulse of A is set in the subsaturation regime [31, 32].

The surface chemical reactions are normally temperature dependent (figure 1(c)), and the temperature range where the surface reactions are self-limiting is regarded as the ALD window. Although the film growth rate (also known as growth per cycle, GPC) is often a constant within the window, the range of the ALD window is actually bounded by the fulfillment of the self-limiting conditions; and therefore, GPC in the window may increase or decrease as well. Precursor condensation or incomplete reaction may occur below the window; whereas precursor decomposition or desorption may occur above the window.

As the surface chemical reactions are crucial for ALD, a thorough understanding of the associated mechanisms is very important to develop and optimize the ALD processes in order to achieve the desired film properties, such as the film thickness, morphology, conformality, and composition. Among the ALD processes that have been so far realized for numerous materials, the involved ALD surface chemistry mechanisms can be generally classified into four categories, which are the ligand-exchange, dissociative or non-dissociative chemisorption, oxidation, and reduction mechanisms. The attributes of these mechanisms are elaborated as follows.

2.1. Ligand-exchange mechanism

The ligand-exchange mechanism (figure 2(a)) is the most common mechanism for ALD, and it can be described as the following general reaction scheme:

Equation (1)

Figure 2.

Figure 2. Schematic illustration of the chemisorption mechanisms: (a) ligand-exchange, (b) dissociative chemisorption, and (c) non-dissociative chemisorption mechanisms.

Standard image High-resolution image

where || denotes the surface, a is a surface group, M is a metal atom, and L is a ligand of the precursor. During the ligand-exchange reaction, a ligand (L) of the gaseous precursor molecule (MLn) is displaced by the surface species (||−a), forming the volatile byproduct of aL, and meanwhile, the remainder of the precursor molecule bonds to the surface, forming a chemisorbed new surface species of ||−MLn−1. The ligand-exchange reaction may continue to occur between the chemisorbed ||−MLn−1 and another nearby ||-a surface group, and therefore, multiple chemical bonds may be formed between the precursor and surface as the following:

Equation (2)

A common example of the ligand-exchange mechanism is the proton transfer scheme, in which a Brønsted base abstracts a proton from a Brønsted acid. As the scheme shown in equation (1), the metal precursor (MLn) serves as a Brønsted base, and it can abstract protons (a = H) from the Brønsted acidic surface groups, such as hydroxyls. In this respect, the metal precursor with a stronger ionic character of its metal–ligand bond is more favorable for the proton transfer reaction, because in this case the ligand is more analogous to a free anion and hence more effective to abstract a positively charged proton. The acidity of the ligand itself is also very important; a stronger basic ligand is more effective to abstract a proton, and this effect is well manifested when comparing the precursors of metalorganics and metal halides.

The ALD of Al2O3 from the precursors of trimethylaluminum (TMA) and H2O is a typical process that follows the proton-transfer ligand-exchange mechanism. This ALD process has been extensively studied both experimentally and theoretically [3335]. In the TMA half-cycle, the surface terminal –OH groups react with the TMA via the proton-transfer ligand-exchange reaction, forming the O–Al bonds and releasing the volatile byproduct of CH4, and then, in the subsequent H2O half-cycle, the remaining surface methyl ligands are displayed by hydroxyls via another proton-transfer ligand-exchange reaction with the incoming H2O molecules:

Equation (3)

Equation (4)

The formation of the strong Al–O bonds is the main driving force for the surface reactions, and the reaction enthalpy was reported to be one of the highest among all ALD reactions [4]. Both the above reactions are efficient and self-limiting, which makes the ALD of Al2O3 an almost ideal case for ALD.

The halogen transfer is another example of the ligand-exchange mechanism. The halogen transfer appears in the thermal ALD of W and Mo metals [36, 37], where Si2H6 is typically used with the metal fluorides of WF6 and MoF6. In these ALD processes, the silane can abstract the fluorine atoms from the surface, forming volatile fluorosilanes, such as SiF4 and SiHF3, along with H2 and HF. For instance, the chemical reaction for the ALD of W from WF6 and Si2H6 were found to be [38]

Equation (5)

Equation (6)

These reactions are highly exothermic, owing to the formation of the strong Si–F bonds. Simultaneously, the H2 gas is produced by the reductive elimination of the hydrogen atoms of the unstable intermediate metal hydrides, which are formed by the fluorine-transfer ligand-exchange reaction. The reductive elimination also affords the desired metal films, and further details of the reduction mechanism will be discussed in section 2.4.

Another type of the ligand-exchange mechanism is based on the exchange of the ligands between two metal precursors, which appears in the ALD of Cu and Co metals [39, 40]. As an example, Cu metal can be deposited from bis(dimethylamino-2-propoxy)copper(II) [Cu(dmap)2] and diethylzinc (DEZ). In this ALD process, the surface dmap groups from Cu(dmap)2 are displaced by the ethyls from DEZ via the ligand exchange reaction, forming volatile Zn(dmap)2. The afforded –Cu(C2H5) surface species are not stable and readily forms Cu metal and butane through the reductive elimination as the following:

Equation (7)

Equation (8)

Notably, for all these ligand-exchange mechanisms, it is important that one of the exchanged products is sufficiently volatile to be liberated from the surface, as otherwise, nonideal issues would occur, as will be discussed later. Generally, the ligand-exchange mechanisms are essential for the majority of the ALD processes.

2.2. Dissociative or non-dissociative chemisorption

The chemisorption of the precursors can also follow a dissociative or non-dissociative mechanism. In the dissociative chemisorption scheme (figure 2(b)), the precursor molecule dissociates into two or more parts when it is chemisorbed on the surface,

Equation (9)

This mechanism often occurs on the surfaces with catalytic activity. For instance, noble metals, such as Ru, have good catalytic nature, and therefore, the ALD processes to deposit Ru often involve the dissociative chemisorption of the ruthenium precursors [41, 42]. Similar processes were also observed on Ni [43, 44] and Cu [45] surfaces. The dissociative chemisorption can also occur on the SiO2 surface, where strained siloxane (Si–O–Si) bridges are present. For instance, Al(CH3)3 can be dissociatively chemisorbed on SiO2 via the following reaction:

Equation (10)

In comparison, in the non-dissociative chemisorption mechanism (figure 2(c)), the precursor molecule is adsorbed as a whole on the surface:

Equation (11)

This mechanism usually occurs when the precursor and surface functional group can form a Lewis acid–base complex. It should be noted that the non-dissociative chemisorption is different from the physisorption, where only weak intermolecular force is present. Nevertheless, the physisorption is often required for a molecule to enter into the chemisorption potential well, especially for the cases without prior activation (e.g. plasma). In the non-dissociative chemisorption, a strong dative bond is formed, and it also has the surface saturation feature. For instance, Al(CH3)3 has an empty Al 3p orbital, which is capable of accepting additional electrons, and therefore, it can associatively chemisorb on the surface hydroxyl or siloxane bridge, forming a dative bond between the Al and O atoms [46, 47]:

Equation (12)
Equation (13)

The experimental identification of the species in the non-dissociative chemisorption is challenging, and most of the previous research focused on the theoretical calculations. Nevertheless, the non-dissociative chemisorption is often considered as the first step of the ALD surface reactions, and thus, it may have a profound effect to influence the subsequent reaction pathways.

2.3. Oxidation mechanism

The oxidation mechanism is closely related to the combustion reactions, which appear in many ALD processes to deposit metal oxides and noble metals. In this respect, strong oxidants, such as O2, O3, and O2 plasma, are often used to combust the surface ligands, which normally produces CO2 and H2O as the byproducts [48, 49],

Equation (14)

The combustion naturally forms metal oxides on the surface. However, for the noble metals, their oxides are either unstable or can be efficiently reduced by the metal precursor in the subsequent half-cycle [50, 51]. Therefore, this approach has also been applied to the ALD of Ru [50, 52], Os [53], Rh [54, 55], Ir [56, 57], Pd [58, 59], Pt [60, 61], and Au [62, 63] metals.

The oxidation approach can also be applied to deposit metal oxides with high oxidation states. Some metal precursors have low oxidation states for their metal ions, and by using this oxidation approach, the oxidation states of the metals can be increased in the deposited oxides. One example is the ALD of In2O3 from cyclopentadienyl indium(I) (InCp), where indium is monovalent in the precursor and trivalent in the target oxide. To achieve the oxidation, H2O and O2 can together be used as the oxygen sources [64]. In this process, the surface –Cp groups are first released by H2O, with no change on the indium oxidation state, and then O2 oxidizes the indium ions from +1 to +3 (figure 3(a)). Another example is the ALD of SnO2 from N2,N3-di-tert-butyl-butane-2,3-diamido-tin(II) and H2O2 [65, 66]. In this case, the stannylene molecule is a π-acid, and it can associate to a surface –OH group in the tin precursor half-cycle. Upon the H2O2 dose, the ligand bonded to the tin atom is first displaced by a hydroxyl group from H2O2, and then additional H2O2 can further oxidize tin(II) to tin(IV) via oxidative addition. It is worth noting that the final oxidation state depends on the exposure of the oxidant.

Figure 3.

Figure 3. Schemes of the oxidation and reduction mechanisms. (a) Oxidation mechanism for the ALD of In2O3 using InCp, H2O, and O2. Reprinted with permission from [64]. Copyright (2017) American Chemical Society. (b) Proposed reaction pathways (reduction mechanism) for the ALD of Co using CoCl2(TMEDA) and Zn(DMP)2. Reprinted with permission from [39]. Copyright (2021) American Chemical Society.

Standard image High-resolution image

2.4. Reduction mechanism

The reduction mechanism is commonly involved in the ALD of metals, where the surface groups bonded to the metal atoms are removed by a reducing agent. H2 gas is a typical reducing agent, and it has been used in depositing a variety of metals [6773]. The corresponding reductive mechanism involves the elimination of the surface ligands by H2, as expressed in the following:

Equation (15)

Similarly, nitrogen-containing reducing agents, such as NH3 [71, 72, 74] and N2H4 [75], can also be used to deposit metal films, but nitrogen impurities are often induced. Alcohols can also be used with metal β-diketonates to deposit the transition metals of Ni [76], Cu [77], and Pd [78]. During these processes, the alcohols produce reductive hydrogen radicals via the dehydrogenation reactions as catalyzed by the transition metal surfaces [79, 80].

Another type of the reduction mechanism is related to the reductive elimination of the surface species. For instance, the reduction of Ti upon the adsorption of the TiCl4 was reported in previous literature, but the involved mechanisms were not shown [81]. More evidence of the reductive elimination was found for the metal amides. It was proposed that the ALD of TiN using Ti(NMe2)4 involves the reductive elimination of the dimethylamino ligands to afford hydrazine [82],

Equation (16)

and the oxidation state of Ti changes from +4 to +3. The reductive elimination may also be accompanied with the β-hydrogen elimination to produce amine [82, 83]. As another example, in the aforementioned ALD process to deposit Cu (equations (7) and (8)), the intermediate surface ethyl groups are unstable and prone to the coupling to form the pure metal and volatile butane. A similar reductive elimination mechanism was suggested for the ALD of Co from CoCl2(TMEDA) (TMEDA = N,N,N',N'-tetramethylethylenediamine) and Zn(DMP)2 (DMP = dimethylaminopropyl). According to the DFT calculation, the reductive elimination pathway was most viable through the concerted C–C bond formation, and the β-hydrogen elimination may also take place in the metal reduction (figure 3(b)) [39]. However, many proposed pathways of the reductive elimination are not explicitly proved, and further investigations are still needed.

2.5. Plasma-assisted ALD

Plasma is sometimes used to assist the ALD, and such method is also known as plasma-assisted ALD (PALD). PALD utilizes the energetic species of radicals, ions, and electrons generated from the plasma as the reactants, and using the plasmas of O2, NH3, H2S, and H2, one can deposit oxides, nitrides, sulfides, and metals, respectively. The surface chemistry mechanisms of PALD are significantly complex, and they may consist of multiple mechanisms as discussed above. Owing to the high reactivity of the species from the plasmas, the surface reactions in PALD are usually easier to reach completion, and many low-reactivity metal precursors can be employed for PALD even at a low deposition temperature. For instance, with the O2 plasma, some precursors (e.g. cyclopentadienyls and β-diketonates) that show low reactivity with H2O or O2 can also be used to deposit oxides; with the H2 plasma, the hydrogenation of the precursor ligands is more efficient, which is helpful to deposit dense pure metal films. The diversity of the plasma also offers more tunability of the film composition. For instance, the N element can be incorporated into the films by adding N2 to the O2 plasma straightforwardly [84, 85]. As another example, Guo and Wang [86] employed the H2S plasma to deposit the sulfur-rich phases of the metal pyrites of FeS2, CoS2, and NiS2. The energetic reactive species in the plasma provided sufficient driving force to form the dimeric S–S moiety in the pyrites, which can hardly be achieved by the thermal ALD using molecular H2S. On the other hand, the radicals and electrons may also activate additional reactions on the surface. For instance, the electrons from plasma can promote the desorption of hydrogen from the –NH2 species on the surface [87]. It is worth noting that the presence of large amounts of gas-phase and surface species make the chemistry of PALD very complex. In some cases of using two reactant gases in the plasma, new molecules can be formed through gas-phase reaction or recombination [88]; the byproducts from the surface reactions may also be dissociated by the plasma when they are desorbed from the surface [17].

Notably, a concern about PALD is that the radicals generated from the plasma are prone to recombine. Therefore, compared to thermal ALD, the conformality of the film coating on high-aspect-ratio trench structures is sometimes compromised in PALD, owing to the severe radical recombination on the walls of the trench, as shown in figure 4(a). In addition to radicals, of which the diffusion is isotropic, the charged ions also play an important part in the PALD process. The charged ions can be accelerated in the direction perpendicular to the substrate surface within the plasma sheath, thus leading to the ion bombardment [90]. The ion energy is susceptible to the mean free path, plasma sheath thickness, and applied bias. Additional energy can be provided to the substrate surface, which may enhance the surface reaction or diffusion. Also, a recent study showed that the ions with low energy under mild plasma condition could significantly influence the deposition. As shown in figure 4(b), conformal deposition in the cavity with a high aspect ratio of 900:1 was successfully achieved [89]. However, in the area exposed to the anisotropic ions, a comparatively lower growth rate was observed. These results show the promise of using radicals to achieve good film step coverage. More methods, such as substrate biasing [91] and waveform tailoring [92], have been studied to modulate the ion energy. These methods are promising for better control of the film properties (e.g. crystallinity and conformality).

Figure 4.

Figure 4. Plasma-assisted ALD. (a) Schematic illustration of the radical recombination on the walls of the trench. (b) Spatial distribution of the PALD SiO2 film thickness in a cavity, using different plasma exposures. The unit of the x-axis is micrometer. Reprinted from [89], with the permission of AIP Publishing.

Standard image High-resolution image

2.6. Nonideal factors

Despite numerous merits of ALD to fabricate high-quality films, a large fraction of the reported ALD processes do involve nonideal issues, and therefore, a good understanding of these issues is critical for better film quality control. To this end, we elaborate some typical nonideal factors in ALD as follows, including nucleation delay, byproduct adsorption, ligand decomposition, agglomeration, and ion diffusion.

2.6.1. Nucleation delay.

The initial nucleation of the film growth is an important aspect in ALD. As shown in figure 5(a), the nucleation delay is a phenomenon that the apparent film growth rate was significantly slower in the initial stage than that in the later steady-growth stage, owing to a limited number of the nuclei formed initially on the surface. In ALD, the precursor usually needs to react with a functional group on the surface, and therefore lacking such functional groups on the initial substrate surface would result in significant nucleation delay for the film growth. For an inert surface, the nucleation usually starts from the surface defects, and in such cases, the initial ALD film growth is usually nonuniform and tends to form discontinuous islands [93]. These islands continue to grow in the follow-up ALD process and eventually coalescence to form a continuous film. It is worth noting that the nucleation delay is quite common for the ALD of oxides on the inert H-terminated silicon [94, 95]. Another example is the ALD of the platinum group metals on inert substrates using O2 as the co-reactant [53, 96]. The lack of the activation of O2 on the initial substrate hinders the chemisorption of metal precursor through oxidation mechanism, which results in the nucleation delay. Notably, because of the steric hindrance of the organic ligands, not all the active surface sites can react with the precursor molecule in each ALD cycle, and therefore, an ALD cycle usually affords less than one monolayer of the deposited material [35, 97, 98], and the island growth is sometimes inevitable.

Figure 5.

Figure 5. Schematic illustration of the typical nonideal factors in ALD: (a) nucleation delay, (b) byproduct adsorption, (c) ligand decomposition, (d) agglomeration, (e) ion diffusion, and (f) etching effect.

Standard image High-resolution image

2.6.2. Byproduct adsorption.

In an ideal ALD process, the byproducts from the surface reactions should be sufficiently volatile to be completely liberated from the surface; however, this is not always the case in actual ALD processes. For instance, Zhao et al [99] found that for the ALD of NiSx from bis(N,N'-di-tert-butylacetamidinato)nickel(II) [Ni(amd)2] and H2S, the reaction byproduct (N,N'-di-tert-butylacetamidine, Hamd) was not released from the surface during the H2S half-cycle but formed a nonvolatile acid-base complex with the sulfhydryl on the surface:

Equation (17)

Another common case is the ALD process with metal chlorides and H2O [100]. The released HCl during the ligand-exchange can further react with the surface hydroxyl groups and even corrode the deposited films. Generally, the adsorbed byproducts can occupy the reactive sites on the surface (figure 5(b)) and therefore reduce the film growth rate [5]. Also, the adsorbed byproducts may be trapped in the deposited films or at the interfaces as impurities [101].

2.6.3. Ligand decomposition.

The ligands on the surface are not always stable, and they may decompose into small fragments during ALD. As shown in figure 5(c), the afforded fragments may still be removable by the co-reactants in the follow-up half-cycle, but if the removal is not complete, the residual fragments may be trapped in the film and become impurities. More importantly, the ligand decomposition may result in the loss of the self-limiting nature of ALD; in fact, as a consequence of the ligand decomposition, CVD-like growth behaviors were often observed at high deposition temperatures. The decomposition of the surface ligands is often a temperature-dependent multi-step process, and therefore, understanding the details of the decomposition process is highly important for the ALD process development and engineering. The decomposition process can be investigated by studying the thermolysis behavior of a precursor on a solid surface. For instance, Zhao et al [102] utilized in situ XPS to investigate the surface thermolysis behavior of a nickel precursor of Ni(amd)2 on SiOx . The surface amidinate moiety from the nickel precursor was found to decompose at 250 °C, which implied an upper temperature limit for the use of the Ni(amd)2 precursor for ALD. Indeed, the corresponding ex situ ALD experiments did show that the C and N impurity levels substantially increased if the deposition temperature was beyond 250 °C [103].

2.6.4. Agglomeration.

During ALD, small deposits can agglomerate to form nanosized clusters or particles on the surface. The agglomeration can be induced by the surface atom diffusion or Ostwald ripening [104]. This phenomenon is often observed in the ALD of noble metals, and it has been extensively applied to the engineering of chemical catalysts at nanoscale [67, 104, 105]. In another case, Zhao and Wang [106] proposed a reaction-agglomeration scheme (figure 5(d)) for the initial ALD growth of NiSx on SiOx . In that scheme, the nickel precursor first reacted with the SiOx surface to afford Ni–O bonds, and the afforded Ni–O bonds converted to NiSx in the subsequent H2S half-cycle with spontaneous agglomeration to form NiSx clusters. This agglomeration could re-expose the SiOx surface and allow for it to further react with the nickel precursor in the follow-up ALD cycle. Therefore, the agglomeration issue is highly important for the growth of ultrathin films by ALD.

2.6.5. Ion diffusion.

In some ALD processes, the diffusion of the ions in the substrates or deposited films cannot be overlooked. For instance, Zhu et al [107] found that the ALD growth of NiSx on a Co9S8 film could significantly reduce the Co amount in the Co9S8 film, and this phenomenon was because of the simultaneous occurrence of the gas–solid metal exchange reaction as

Equation (18)

together with the fast diffusion of the metal ions inside the Co9S8 film (figure 5(e)). The similar gas–solid metal exchange reactions were also observed in the ALD growth of Al2O3 on a ZnO film [108, 109]. This effect can be very important for the ALD of multi-element compounds (e.g. ternary or quaternary compounds) because the most common approach to deposit the multielement compounds is to alternately deposit binary compounds in supercycle manner. In another case, significant out-diffusion of the oxygen ions from the substrate was observed for the ALD of Al2O3 on the Sn-doped In2O3 (ITO) substrate [110]. The out-diffusion of the oxygen ions resulted in non-self-limited chemisorption of TMA, which substantially enhanced the initial growth of Al2O3 on ITO. Therefore, the ion diffusion effect should be carefully considered if the involved ions are possibly mobile in the substrates or deposited films.

2.6.6. Etching effect.

The etching effect may occur during the film deposition. This effect is common in PALD, in which the energetic ions from plasma can sputter off the films and thus etch the materials [91, 92]. The etching effect mainly depends on the plasma power and exposure in PALD, and therefore, the plasma parameters should be carefully tuned when depositing films. The etching effect may also occur through the chemical reaction to form volatile products (figure 5(f)), and a well-known example is the pulsing of Al(CH3)3 on the AlF3 film surface. Al(CH3)3 would react with AlF3 through the ligand-exchange to form the volatile AlF(CH3)2 [111]:

Equation (19)

and AlF3 is removed during the reaction. It is worth noting that the self-limiting etching of Al2O3 can be achieved by the fluorination followed by the etching reaction, and this strategy is extensively used in atomic layer etching [112, 113].

3. Precursor chemistry of ALD

Generally, the ALD precursors should be of sufficient volatility, thermal stability, and self-limiting reactivity. The volatility is a prerequisite for all vapor-phase thin-film deposition techniques, and for ALD, the precursors are desired to have a saturated vapor pressure of >0.1 Torr. To afford sufficient vapor pressure, the precursor compounds are often needed to be heated during ALD, and therefore, the precursors should be of sufficient thermal stability. The thermal stability is also important to avoid the precursor decomposition on the substrate surface, as otherwise, it would lead to the loss of the self-limiting growth. The precursors should also be of sufficient reactivity with the surface groups, and as discussed in the previous section, the surface chemical reactions should be self-limiting, as otherwise, the deposition would be CVD-like. Over the years, many efforts have been devoted to engineer the precursor molecule structures to achieve the desired attributes for the ALD applications. For instance, to enhance the precursor volatility, the precursor molecules often adopt organic ligands with alkyl terminals to reduce the intermolecular interactions. To enhance the precursor thermal stability, one can use bidentate ligands to form chelated structures with the metal ions. Table 1 summarizes some typical categories of the metalorganic compounds that have been often used as the precursors in ALD, including metal halides, alkyls, cyclopentadienyls, alkoxides, β-diketonates, amides, amidinates, and heteroleptic precursors. This table is not aimed to list all the precursors, and some others include metal diazadienyls [114118] and carbonyls [119124], which are somewhat less commonly used. A more comprehensive survey can be found in an online ALD database [125].

Table 1. Summary of the ALD metal precursors and film deposition examples.

PrecursorFilm deposition example
CategoryFormulaMaterialCo-reactantDeposition temperature (°C)Growth rate (Å/cycle)References
HalidesTiCl4 TiO2 H2O200–6000.35–0.45[126]
NbCl5 NbNx NH3 300–4000.16[127]
TaCl5 TaH2 plasma250–4001.67[128]
MoF6 MoSi2H6 90–1506–7[36]
MoS2 H2S7000.22[129]
WF6 WSi2H6 150–3252.5[130]
WNx NH3 plasma315–3752.0[131]
ZrCl4 ZrO2 H2O5000.53[132]
HfCl4 HfO2 H2O5000.5[133]
InCl3 In2Se3 (Et3Si)2Se2950.55[134]
GaCl3 GaNNH3 5502.0[135]
ZnCl2 ZnSe(Et3Si)2Se4000.55[134]
ZnI2 ZnSH2S300–4500.45[136]
AlkylsZn(C2H5)2 ZnOH2O130–1751.9[137]
Cd(CH3)2 CdOH2O1502[138]
Al(CH3)3 Al2O3 H2O77–2771.0–1.1[139]
Ga(CH3)3 Ga2O3 O3 200–3750.52[140]
In(CH3)3 In2O3 O3 100–2000.46[141]
Sn(CH3)4 SnO2 N2O4 250–2902.5[142]
CyclopentadienylsMg(EtCp)2 MgOH2O1501.42[143]
Sr(PrMe4Cp)2 SrOH2O + O2 250–3500.4[144]
ScCp3 Sc2O3 H2O250–3500.75[145]
Y(MeCp)3 Y2O3 H2O200–2751.2[146]
Mn(EtCp)2 MnOH2O1001.2[147]
FeCp2 FeOx O2 350–5001.4[148]
CoCp2 Co3O4 O3 150–2800.41[149]
NiCp2 NiOO3 2300.92[150]
Ru(EtCp)2 RuO2 2701.5[151]
InCpIn2O3 O3 200–4501.2–1.4[152]
AlkoxidesLi(OtBu)Li2OH2O225–3000.12[153]
Ti(OiPr)4 TiO2 H2O90–2000.35[154]
Zr(OtBu)4 ZrO2 H2O150–2501.3[155]
Hf(OtBu)4 HfO2 O3 3000.4[156]
VO(OiPr)3 VOx O2 plasma50–2000.5[157]
Ta(OEt)5 Ta2O5 H2O250–3250.4[158]
Al(OiPr)3 Al2O3 H2O140–3001.2–1.8[159]
β-diketonates[Mg(thd)2]2 MgOH2O2 325–4250.10–0.14[160]
Ca(thd)2 CaCO3 O3 200–3500.45[161]
Sc(thd)3 Sc2O3 O3 335–3750.125[145]
Fe(thd)3 FeOx O3 1860.11[162]
Co(thd)2 Co3O4 O3 114–3070.2[163]
Ni(acac)2 NiOO3 2500.3–0.5[70]
Cu(acac)2 CuH2 plasma1400.18[164]
Ru(thd)3 RuO2 2500.15[165]
Rh(acac)3 RhO3 200–2200.42[55]
Pd(hfac)2 PdO3 180–2200.22[55]
Ir(acac)3 IrO2 + H2 2000.2[166]
Pt(acac)2 PtO3 1400.5[167]
La(thd)3 La2O3 O3 2500.35[168]
Ce(thd)4 CeO2 O3 175–2500.32[169]
Nd(thd)3 Nd2O3 O3 3100.43[170]
Sm(thd)3 Sm2O3 O3 3000.35[170]
Eu(thd)3 Eu2O3 O3 3000.31[170]
Gd(thd)3 Gd2O3 O3 3000.30[170]
Dy(thd)3 Dy2O3 O3 3000.30[170]
Er(thd)3 Er2O3 O3 3000.19[171]
Lu(thd)3 Lu2O3 O3 3000.18[171]
AlkylamidesTi(NMe2)4 TiO2 H2O1500.58[154]
Zr(NMe2)4 ZrO2 H2O1001.0[172]
Hf(NMe2)4 HfO2 H2O205–2350.72[173]
V(NMe2)4 VOx H2O500.32[174]
Ta(NMe2)5 Ta2O5 H2O200–2500.85[175]
Ga2(NMe2)6 Ga2O3 H2O170–2501.0[176]
Sn(NMe2)4 SnO2 H2O2 50–3001.2[177]
Si(NMe2)4 SiO2 H2O2 150–5500.8–1.8[178]
Ge(NMe2)4 GeO2 O3 180–2300.45[179]
AmidinatesCa(iPr2FMD)2 CaSH2S150–2800.87[180]
Sc(iPr2AMD)3 Sc2O3 H2O2900.3[181]
Y(iPr2AMD)3 Y2O3 H2O150–2800.8[182]
V(iPr2AMD)3 VOx O3 1901.57[183]
Mn(tBu2AMD)2 MnOx H2O175–2502.1[184]
Fe(tBu2AMD)2 FeS2 H2S plasma2001.06[86]
Co(iPr2AMD)2 Co9S8 H2S80–1650.27[185]
Ni(tBu2AMD)2 NiSx H2S2000.15[99]
[Cu(iPr2AMD)]2 CuH2 plasma50–1000.7[186]
In(iPr2AMD)3 In2O3 H2O150–2750.55[187]
Sn(iPr2FMD)2 SnOH2O1400.82[188]
La(iPr2AMD)3 La2O3 H2O3000.9[73]
Ce(iPr2AMD)3 CeO2 O3 220–2552.8[189]
Pr(iPr2AMD)3 PrOx H2O200–3151.3[190]
Gd(iPr2AMD)3 GdScO3 H2O3101.0[191]
Er(tBu2AMD)3 Er2O3 O3 225–3000.37–0.55[192]
Lu(Et2FMD)3 Lu2O3 H2O3001.2[193]
Heteroleptic[Al(CH3)2(OiPr)]2 Al2O3 O2 plasma150–2500.85[194]
ZrCp2Cl2 ZrO2 O3 275–3500.53[195]
HfCp2Cl2 HfO2 O3 325–4000.54[196]
TiCp(NMe2)3 TiO2 O3 250–3001.0[197]
Y(iPrCp)2(iPr2AMD)Y2O3 H2O2250.8[198]
Pr(iPrCp)2(iPr2AMD)Pr2O3 H2O2001.5[198]
Gd(iPrCp)2(iPr2AMD)Gd2O3 H2O2000.75[198]
Dy(iPrCp)2(iPr2AMD)Dy2O3 H2O2000.8[198]
La(iPrCp)2(iPr2AMD)La2O3 O3 2000.5[199]
CoCp(iPr2AMD)CoNH3 plasma200–2500.5[200]

Cp = cyclopentadienyl; thd = 2,2,6,6-tetramethylheptane-3,5-dionato; acac = acetylacetonato; hfac = 1,1,1,5,5,5-hexafluoro-acetylacetonato; AMD = acetamidinato; FMD =formamidinato.

3.1. Metal halides

Metal halides, such as chlorides and fluorides, have been used as the precursors since the early days of ALD. The halides usually have a good thermal stability, which is desirable for ALD. As an example, TiCl4 has a long history of use in the IC manufacturing for the deposition of TiN [201], which is the electrode material of DRAM. Because DRAM has a 3D structure with high aspect ratio, the excellent conformality feature of ALD is indispensable for this application. However, the deposition temperature was often high (>300 °C), and the deposited films usually contained halogen impurities. As another example, HfCl4 can be used with H2O to deposit HfO2, which is a high-k dielectric material for CMOS devices. In the deposited HfO2 films, the chlorine impurity was reported to be ca. 5% when the deposition temperature was 225 °C, and increasing the deposition temperature to 500 °C could significantly reduce the chlorine level [133, 202]. Also, the nucleation delay was observed in this process, and the HfO2 films grew as islands on the H-terminated Si surfaces at the initial stage, which could cause large electrical leakage for the gate dielectric applications. To this end, additional surface treatments many be needed to remedy this issue. The silicon surface can be oxidized or nitrided to provide enough surface groups, which are typically reactive with the precursor [203, 204].

On the other hand, except for a few halides, such as WF6, which is a gas at room temperature, the majority of the metal halides are not quite volatile and therefore require high-temperature heating to produce sufficient vapor for ALD. For example, InCl3 and CuCl need to be heated to 275 °C and 340 °C, respectively, for ALD [205, 206]. In addition, the reaction byproducts of the halides include corrosive HF or HCl, which may further etch the substrates and deposited films, thereby deteriorating the uniformity and conformality of the ALD films. For instance, WF6 and H2 can be used to deposit tungsten metal, and the tungsten metal is used for the local interconnects in ICs. However, the HF generated by the ALD process of WF6 and H2 can corrode the SiO2 dielectrics and cause electrical shorting between devices [207]. Despite of these issues, the halide precursors still have a significant role in manufacturing, and one of the most important considerations is their low cost.

3.2. Metal alkyls

Several metal alkyls are well-known for their excellent properties as ALD precursors, such as Al(CH3)3 and Zn(C2H5)2. Al(CH3)3 is a typical metal alkyl precursor, which has widely used and comprehensively studied. Al(CH3)3 is highly volatile and can be used at room temperature for ALD. Al(CH3)3 is also highly reactive and can be used with H2O [33, 35], H2O2 [208], O3 [209, 210] and O2 plasma [211] to deposit Al2O3. The involved gas–solid surface reactions are efficient and self-limiting, although some methyl ligands could persist on the surface when the deposition is below 300 °C using H2O as the co-reactant [34]. Al(CH3)3 can also be used to deposit the III–V compounds of AlN [212], AlP [213], and AlAs [214] with the use of NH3, PH3, and AsH3 as the co-reactants, respectively. Similar to Al(CH3)3, Zn(C2H5)2 is also a desirable precursor, and it has been used to deposit ZnO [137], ZnS [215], ZnSe [216], and ZnTe [217] with the use of H2O, H2S, H2Se, and H2Te as the co-reactants, respectively.

As for the heavier IIIA elements of gallium and indium, the corresponding alkyl compounds, such as In(CH3)3 and Ga(CH3)3, have been used in semiconductor industry for the CVD of III–V materials (e.g. InP, InAs, GaN, and GaAs). However, compared to Al(CH3)3, In(CH3)3 and Ga(CH3)3 are less reactive with H2O, and therefore, a stronger oxidant, such as O3 [140, 141] or O2 plasma [218, 219], is often needed for the ALD of the oxides (figure 6(a)). Particularly, for the ALD of Ga2O3 from Ga(CH3)3 and O2 plasma, the crystal phase of the deposited Ga2O3 films could be controlled by the gas composition (Ar-diluted O2), flow rate, and pressure during the plasma step, together with the deposition temperature (figure 6(b)) [218]. Sn(CH3)4 is also a reported ALD precursor; but its reactivity with H2O is low, and therefore, a strong oxidant co-reactant, such as N2O4, is needed to deposit SnO2 [142].

Figure 6.

Figure 6. ALD from metal alkyls. (a) ALD of In2O3 from In(CH3)3 and ozone. Reprinted with permission from [141]. Copyright (2016) American Chemical Society. (b) ALD of Ga2O3 from Ga(CH3)3 and ozone. Reprinted with permission from [218]. Copyright (2020) American Chemical Society.

Standard image High-resolution image

It should be noted that although the abovementioned metal alkyls are successful for ALD, most of the other metal alkyls do not have sufficient volatility or thermal stability. Therefore, the use of metal alkyl precursors is still limited to only a few metals.

3.3. Metal cyclopentadienyls

Metal cyclopentadienyls (Cp) have been used in a large number of ALD processes, and many of these compounds are fairly stability. For instance, the metal cyclopentadienyls (and their derivatives) have been quite successful for the ALD of the IIA-element compounds. As an example, MgCp2 has been used with H2O to deposit MgO, and the film growth rate was reported to be ca. 1.5 Å/cycle at 150 °C [220]. Similarly, Sr(iPr3Cp)2 and Ba(Me5Cp)2 have been used with H2O to deposit SrTiO3 [221] and BaTiO3 [222], respectively, and these compounds have also been used with H2S to deposit SrS and BaS [223]. Notably, in these cases, the Cp rings are isopropyl- and methyl-substituted to prevent the oligomerization of these compounds, and this substitution strategy is fairly important for the bulky metal ions. Likewise, La(iPrCp)3 and Ce(Me4Cp)3 have been used for the ALD of La2O3 [224] and CeO2 [225], respectively. A few late-3d-metal cyclopentadienyls, such as CoCp2 [149, 226] and NiCp2 [227, 228], have also been used for ALD, but they usually need a strong oxidant of O3 or plasma (O2, H2, or NH3 plasma) as the co-reactant to activate the surface reactions [229231]. For instance, Co metal films can be deposited from CoCp2 and NH3 plasma, and in this process, the NH3 plasma exposure generates a N-terminated surface, which can further react with CoCp2 to form the Co–Co bonds and a series of volatile organic byproducts (figure 7(a)) [230]. As for the noble metals, their cyclopentadienyls (and derivatives) have been quite successful for ALD. Using O2 as the reactant, Ru [151], Pt [232], and Ir [233] metals can be successfully deposited from their cyclopentadienyl precursors.

Figure 7.

Figure 7. ALD from metal cyclopentadienyls, alkoxides and β-diketonates. (a) PALD of Co metal from CoCp2 and NH3 plasma. Reprinted from [230], Copyright (2017), with permission from Elsevier. (b) Chelated structure of M(dmap)2. (c) Common β-diketonate ligands. (d) ALD of Ni from Ni(acac)2 and primary alcohols. Reprinted with permission from [76]. Copyright (2014) American Chemical Society.

Standard image High-resolution image

It is worth noting that it is sometimes difficult to completely remove the cyclopentadienyl ligands on the surface by a Brønsted-acid co-reactant, because the hydrogenation of cyclopentadienyl involves the breakup of its aromatic conjugation. Therefore, carbon residue could be an issue for the deposited films [234], and even for some cyclopentadienyl compounds, H2O is not sufficiently reactive to serve as the co-reactant [152].

3.4. Metal alkoxides

Volatile metal alkoxides are also suitable ALD precursors. Ti(OiPr)4 is a representative example and has been used for the ALD of TiO2. Using H2O as the co-reactant, the ALD growth rate of TiO2 was found to be 0.2 Å/cycle at 150 °C–250 °C [235]. It is worth noting that the metal alkoxides can self-oligomerize in solution, and therefore, the deposition may be CVD-like at the low temperature near the precursor condensation point [236]. Ti(OMe)4 is a more thermally stable precursor than Ti(OiPr)4, and the decomposition does not occur significantly until 350 °C; using H2O as the co-reactant, the ALD growth rate for TiO2 was 0.55 Å/cycle at 250 °C–325 °C [237]. In addition, Ti(OiPr)4 can be used in combination with TiCl4 to directly deposit TiO2 without using any other oxygen source. The reaction follows an alkyl-transfer mechanism, and the TiO2 growth rate was 0.7 Å/cycle at 150 °C−250 °C [238].

Notably, the alkyl part of the alkoxide can be further engineered for the ALD applications. For instance, with an additional terminal dimethylamino group, the isopropoxide-derived ligand of dimethylamino-2-propoxide (dmap) can form chelated structures with metal ions (figure 7(b)), and the chelation can substantially enhance the stability of the formed metal–organic compounds. In this respect, Cu(dmap)2 is a good example; as shown previously, Cu(dmap)2 can be used with Zn(CH2CH3)2 for the ALD of Cu metal [40]. In addition, it is worth noting that it is sometimes difficult to completely remove the surface alkoxide ligands during ALD, because the M–O bonds in the alkoxides are often quite strong. Therefore, oxygen and carbon impurities may be incorporated in the deposited films, and this issue can be critical for the ALD of pure metals [74].

3.5. Metal β-diketonates

Metal β-diketonates have been widely used as the ALD precursors. Many metals, including alkaline earth metals, transition metals and lanthanides, can form suitable β-diketonate compounds for the ALD applications. In a typical metal β-diketonate structure, the two ketone oxygen atoms coordinate to the center metal, forming a chelated six-member ring structure. The chelation can substantially enhance the compound thermal stability, and the side chains can be further tailored to promote the compound volatility. Common β-diketonate ligands include acetylacetonate (acac), 2,2,6,6-tetramethylheptane-3,5-dionate (thd), and 1,1,1,5,5,5-hexafluoroacetylacetonate (hfac) (figure 7(c)). The acac ligand is suited for small-size metals; whereas the bulky thd ligand is suited for large-size metals for preventing dimerization [239, 240]. The hfac ligand is a fluorine-substituted acac ligand, and the fluorine substitution can significantly enhance the compound volatility; however, it may also cause fluorine contamination in the deposited films.

Metal β-diketonates have been studied for the deposition of oxides [241244], nitrides [245], sulfides [246248], and metals [55, 59, 166, 167]. For the oxide deposition, owing to the stable chelated structures of the metal β-diketonates, the ALD processes usually need either high deposition temperature [249, 250] or a stronger oxidant, such as O3 or O2 plasma, as the co-reactant [241244]. Also, pure nitrides and sulfides are not easy to achieve, because the surface β-diketonate ligands are difficult to be completely removed in these ALD processes [248, 251]. Noble metals, such as Rh [55], Pd [59], Ir [166], and Pt [167], can be deposited from the metal β-diketonates based on the combustion chemistry. In some cases, organic reducing agents, such as formalin [252], methanol [76], and hydroquinone [253], can be used with the metal β-diketonates to deposit metal films. For instance, Pd metal could be deposited from Pd(hfac)2 and formalin, and the growth rate was found to be 0.35 Å/cycle at 200 °C [252]. As another example, Ni metal films could be deposited from Ni(acac)2 with a primary alcohol at a temperature below 300 °C [76]. As shown in figure 7(d), three primary alcohols of ethanol, propanol, and methanol were evaluated, and methanol gave out the best results, as the deposited films were high-purity metallic Ni with low resistivity. In addition, the thd-based metal β-diketonates can also be used with TiF4 or TaF5 to deposit metal fluorides, such as MgF2, CaF2, and LaF3 [254].

3.6. Metal amides

Metal amides generally have a high reactivity, and therefore, if they are volatile, they can be used for low temperature ALD (<100 °C). The low ALD temperature can allow for the films to grow on thermally unstable substrates, such as polymers, plastics, and photoresists [255]. As an example, M(NMe2)4, M(NMeEt)4, and M(NEt2)4 (M = Hf, Zr) have been used as the hafnium and zirconium precursors to deposit ZrO2 and HfO2 [172]. V(NMe2)4 has been used with H2O or O3 to deposit VOx films at 50 °C–200 °C (figure 8(a)) [256, 257]. All these precursors are highly volatile and reactive. When using H2O as the co-reactant, the ALD surface reactions follow a proton-transfer ligand-exchange scheme, where the alkylamide ligand accepts a proton from the surface –OH, affording the alkylamine as the byproduct, while the metal ion bonds to the surface oxygen. This exchange reaction is highly exothermic, as the metal–oxygen bond is stronger than the metal–nitrogen bond and the amine is more basic than the alcohol. However, the thermal stability of the metal alkylamides is generally limited, and therefore, high deposition temperature may lead to the partial decomposition of the metal alkylamides and therefore increase the carbon and nitrogen impurity levels in the deposited films.

Figure 8.

Figure 8. ALD from metal amides. (a) ALD of VOx from V(NMe2)4 with the co-reactant of H2O or O3. Reprinted by permission from Springer Nature Customer Service Centre GmbH: Springer Nature, Journal of Materials Research [257], Copyright (2017). (b) ALD of SnS from (N,N'-di-t-butyl-2-methylpropane-1,2-diamido)tin(II) and H2S. Reprinted from [258], Copyright (2021), with permission from Elsevier. (c) ALD of Wx Siy N from W(Nt Bu)2[N(SiMe3)2]2 and H2 plasma. Reprinted with permission from [259]. Copyright (2015) American Chemical Society.

Standard image High-resolution image

The thermal stability can be improved using a diamide ligand to form a chelated cyclic structure with the metal ion [258, 260]. As shown in figure 8(b), (N,N'-di-t-butyl-2-methylpropane-1,2-diamido)tin(II) has been used as the tin precursor for depositing SnS. Using H2S as the co-reactant, the SnS growth rate was approximately 0.12 nm/cycle at the deposition temperatures of 75 °C–175 °C [258].

Metal amides have also been applied to the ALD of metal nitrides. Using Ti(NEt2)4 and NH3 plasma, TiN films with a low resistivity of ca. 250 μΩ cm were deposited at 300 °C; however, higher carbon concentration was observed at lower deposition temperatures [261]. Ta(NMe2)5 was used with H2 plasma to deposit TaN [201]. The TaN film growth rate was 0.56 Å/cycle at 225 °C, and the film electrical resistivity was 380 μΩ cm. Long H2 plasma pulse time was found to be important to remove the carbon residue in the films. ALD of GaN was achieved by using [Ga(NMe2)3]2 and NH3 plasma [262]. The self-limiting growth behavior was observed at 130 °C–250 °C, and the film growth rate was 1.4 Å/cycle. The GaN films deposited on the Si(100) substrate were crystalline with an atomic Ga/N ratio of 0.97, and the carbon and oxygen impurity concentrations were 2.8 at% and 3 at%, respectively.

Metal silylamides have also been used for ALD to deposit various materials, such as Li3N [263], La2O3 [264], ZrO2 [265], and PbI2 [266]. The metal silylamides are highly reactive but not quite thermally stable, and the decomposition of the metal silylamides would leave silicon impurities in the films. For instance, in the ALD ZrO2 films deposited from ZrCl2[N(SiMe3)2]2 and H2O, the silicon concentration was found to be 1.1–5.4 at% for the deposition temperatures of 150 °C–350 °C [265]. On the other hand, silicon-containing Wx Siy N thin films could be deposited from W(Nt Bu)2[N(SiMe3)2]2 and H2 plasma (figure 8(c)) [259].

3.7. Metal amidinates

Metal amidinates have been widely used as the ALD precursors. The amidinate ligands can bidentately coordinate to the metal ions to form chelated four-member ring structures. The chelation can considerably enhance the thermal stability, and therefore, the metal amidinates are generally more thermal stable than the metal amides. The chelation of the amidinates resembles that of the β-diketonates, but the nitrogen–metal coordination bonds are much weaker than the oxygen–metal bonds in β-diketonates, and therefore, the metal amidinates are usually of better reactivity. The amidinate side chains and backbones can be further substituted to modulate the volatility and reactivity of the complexes. Particularly for large-size metals, introducing bulky side alkyl chains is important to prevent oligomerization.

Metal amidinates have been used for the ALD of transition metals [73, 267], oxides [181184], sulfides [86], and selenides [268]. For instance, Cu, Co, Fe, and Ni metals can be deposited from their N,N'-dialkylacetamidinate compounds together with the use of H2 as the co-reactant [73]. As another example, cobalt oxides can be deposited from Co(iPr2AMD)2 by using H2O or O3 as the co-reactant [267]. As shown in figure 9(a), using H2O, rock-salt CoO films could be deposited at 150 °C–200 °C with a growth rate of 0.45 Å/cycle; whereas using O3, spinel Co3O4 films could be deposited at 200 °C–225 °C with a growth rate of 0.5 Å/cycle. As for the metal sulfides, M(tBu2AMD)2 (M = Fe, Co, Ni) could be used with molecular H2S to deposit FeSx [269], Co9S8 [185], and NiSx [103], and they could also be used with H2S plasma to deposit the metal disulfides of FeS2, CoS2, and NiS2 [86]. Recently, these compounds were also used with diethyldiselenide (DEDSe) and Ar/H2 plasma to deposit the metal selenides of FeSe2, CoSe2, and NiSe2 [268].

Figure 9.

Figure 9. ALD from metal amidinates. (a) ALD of CoOx from Co(iPr2AMD)2 with the co-reactant of H2O or O3 [267]. John Wiley & Sons. © 2022 Wiley-VCH GmbH. (b) ALD of In2O3 from In(iPr2FMD)3 and H2O [187]. John Wiley & Sons. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

Standard image High-resolution image

Metal amidinates have also been used as the precursors for varieties of lanthanides and basic metals. La(iPr2FMD)3 is a typical example. This compound is fairly volatile and has been extensively used for the ALD of the lanthanum-based oxides [193, 270, 271]. As for the basic metals, In(iPr2FMD)3 [187] and Sn(iPr2AMD)2 [260] have been reported as the precursors. For instance, In(iPr2FMD)3 could be used with H2O to deposit high-purity In2O3, and the film growth rate was 0.55 Å/cycle at 150 °C–275 °C (figure 9(b)) [187].

3.8. Heteroleptic metal precursors

Heteroleptic metal precursors are rapidly emerging in recent years. Two or more different ligands are bonded to the center metal atom in heteroleptic metal precursors, and a combination of the best properties of the respective parent homoleptic compounds can possibly be obtained [272]. For instance, the thermal stability of the metal precursors may be improved by incorporating the halide ligands; ZrCp2Cl2 [195] and HfCp2Cl2 [196] are thermally stable, without losing the self-limiting growth nature even at 300 and 350 °C, respectively. Cyclopentadienyl-alkylamido compounds have also been synthesized for zirconium [273], hafnium [274] and titanium [197]. Compared to their homoleptic metal amides, better thermal stability was obtained and the reactivity was comparable when using O3 as co-reactant to deposit the oxide films. A recent study suggested that the cyclopentadienyl-alkylamido compounds chemisorbed to the film surfaces mainly through the reactions of the alkylamide ligands, and therefore, H2O was difficult to be used as the co-reactant to deposit the oxide films [197].

The asymmetry of the heteroleptic compounds also helps the precursors to remain in liquid form. For instance, M(iPrCp)2(iPr2AMD) (M = Y, La, Pr, Gd, and Dy) have been used to deposit the rare-earth oxide films [198, 199]. All these precursors are liquid and highly volatile. Using H2O as the co-reactant, the oxide films could be deposited at no higher than 200 °C. It is worth noting that the hygroscopicity of the lanthanide oxides may lead to the loss of the self-limiting growth, and this problem can be solved by introducing O3 as the oxygen source [199].

Notably, in some cases, the heteroleptic metal precursors do not exhibit improved properties, or even worse, some undesired properties of the respective homoleptic precursors may be introduced. Generally, the understanding about the chemistry of the heteroleptic compounds is still very limited, and the surface chemistry investigation is much needed.

3.9. ALD precursors for non-metals

Aside from the metal precursors, the precursors for the non-metal elements are also important for ALD. For instance, to deposit metal oxides, a variety of oxygen sources can be chosen. If the metal precursors are sufficiently reactive, H2O is the most common oxygen source, and alcohols can sometimes be used. However, if the reactivity of the metal precursors is low, a strong oxidant, such as H2O2, O2, O3, or O2 plasma, is usually needed. Notably, H2O2 and O3 are prone to decompose at high temperature, and the deposited films may also catalyze this process [152], which would deteriorate the uniformity and conformality of the deposited films. As for the O2 plasma, the O radicals in the plasma are reactive species, but the radicals are prone to recombine at the substrate surface and therefore possibly difficult to reach the bottom of a very deep trench. Therefore, conformal coating on high-aspect-ratio trenches may not be easy to realize. The O2 plasma also contains oxygen ions, of which the motion can be anisotropic and therefore affect the deposition conformality [89].

The nitrogen precursors for the ALD of metal nitrides include NH3 [212, 275], hydrazine [276], N2 plasma [277, 278], and NH3 plasma [261, 262]. NH3 is commonly used, given that the metal precursors are sufficiently reactive [212, 275]. Some ALD processes use hydrazine [276], which is more reactive than NH3, but this compound is toxic and explosive. N2 or NH3 plasma can be used to enhance the surface chemistry reactivity [262, 278]. In some cases, particularly for the deposition of low-resistivity metallic TiN and TaNx , H2 plasma or mixed H2:N2 plasma can be used to reduce the carbon impurity level in the deposited films [201, 278, 279].

As for chalcogenides, H2S and H2S plasma are often used to deposit the metal sulfides, despite that the H2S gas is toxic and explosive. Recently, an organosulfur precursor of di-tert-butyl disulfide (TBDS) was reported to replace H2S for the sulfide ALD [280]. Also, the alkylsilyl compounds of (Et3Si)2Se and (Et3Si)2Te are often used for the ALD of metal selenides and tellurides, respectively [134].

As for pnictogenides, PH3 and AsH3 have been used to deposit the metal phosphides [213] and arsenides [214], respectively. As for fluorides, HF is extremely toxic and corrosive, and therefore, alternatives, such as TiF4 and TaF5, have been used to deposit the metal fluorides [254].

4. Applications

ALD has already been extensively used in microelectronics industry, since the adoption of the high-k ZrO2 in DRAM and subsequently the HfO2 gate-dielectric in the Si-based 45 nm CMOS technology. As the microelectronics technology continues to advance, many new types of device structures have emerged and would need ALD to fabricate their essential components. To this end, we herein review a few newly-emerged areas in microelectronics, where ALD has considerably promising applications, including oxide-based transistors, ferroelectric dielectrics, and metal interconnects. It is worth noting that, other than microelectronics, ALD have also been used in many other areas, such as solar cells [281284], supercapacitors [285287], and catalysis [288290], and the readers can find the reviews in the above-cited references.

4.1. Oxide-based transistors

With the fast development of artificial intelligence, the demand for computing power has increased significantly. In the past decades, the critical size of the transistors has been continually shrinking to boost the performance of the ICs. However, as the traditional Si-based transistor approaches its limitation of the critical size downscaling, new materials are needed to be introduced to the Si technology to further improve the ICs. The desired materials should have good immunity to short-channel effect, high mobility, and low contact resistance to achieve high-performance transistors. More importantly, the fabrication of the materials should have good compatibility with the BEOL process and the advanced device structures, such as FinFET and GAAFET. Oxide semiconductors, such as In2O3 [291293], InGaO (IGO) [294296], InZnSnO (IZTO) [297], and InGaZnO (IGZO) [14, 298, 299], have outstood as the promising materials for the new transistor and IC technology. The FETs made of oxide semiconductors have extremely low off-current and a reasonably high electron mobility (>10 cm2 V−1 s−1). More importantly, the fabrication of these oxide-based transistors needs fairly thermal budge, which is compatible with the BEOL requirement (⩽400 °C), and therefore, the oxide-based transistors are possible to be built directly on the traditional Si-based CMOS circuits to achieve 3D circuit integration. The ALD technique has been considered as a pivotal approach to fabricate these oxide-based transistors for its low process temperature and precise control of the film thickness and composition. With careful engineering of the involved surface chemistry and precursor chemistry, high-performance oxide transistors can be achieved by ALD. For instance, Si et al [291] demonstrated that using the ultrathin In2O3 (0.50 nm) channel layers made by ALD, the FETs with the channel length of 8.0 nm were able to achieve a very high on/off ratio of 1010 (figure 10(a)). Also, Cho et al [299] showed that the transistors using the amorphous IGZO (a-IGZO) channel layers made by ALD could achieve a high electron mobility of 36.6 cm2 V−1 s−1 and a good bias stress stability.

Figure 10.

Figure 10. Oxide-based transistors fabricated by ALD. (a) ALD of the In2O3 channel layer for short-channel transistors: schematic structure, EDX elemental mapping, transfer and output characteristics. Reprinted by permission from Springer Nature Customer Service Centre GmbH: Nature, Nature Electronics [291], Copyright (2022). (b) Self-aligned top-gate a-IGZO transistors with a 4 nm Al2O3 gate-dielectric layer prepared by ALD: schematic structure, cross-sectional HRTEM image, and transfer characteristics under bias stress tests. © [2022] IEEE. Reprinted, with permission, from [300]. (c) Transistors with an ALD-prepared IGZO channel and stacked HfO2–Al2O3 gate-dielectric: schematic illustration of the interface optimization, transfer characteristics, and field-effect mobility. Reprinted with permission from [298]. Copyright (2021) American Chemical Society. (d) Vertical transistors based on ALD IZTO and Al2O3: schematic structure, cross-sectional TEM image, transfer and output characteristics. Reprinted with permission from [297]. Copyright (2019) American Chemical Society.

Standard image High-resolution image

Aside from the channel layer, the gate-dielectrics can also be made by ALD, and the afforded dielectric–channel interface can be achieved with very low trap density. For instance, Li et al [300] used ALD to deposit 4 nm Al2O3 as the gate-dielectric for the a-IGZO transistors, and owing to the good quality of the Al2O3/a-IGZO dielectric–channel interface, the afforded transistors showed a fairly low subthreshold swing of only 60.9 mV dec−1 and a very good bias stress stability (figure 10(b)). Cho et al [298] also reported high-performance transistors based on the ALD-prepared IGZO channel and stacked HfO2–Al2O3 gate-dielectric, and the transistors exhibited a high electron mobility of 74.0 cm2 V−1 s−1 with negligible hysteresis (figure 10(c)).

As a step forward, both the oxide channel and gate-dielectric layers can be made by ALD. With the unique feature of ALD for conformal coating, both the layers can be deposited on vertical side walls or in hole structures to realize vertical-structure transistors. For instance, Baek et al [297] showed that vertical oxide transistors could be fabricated on side walls based on the ALD-prepared IZTO channel layer and Al2O3 dielectric layer (figure 10(d)). Also, Chen et al [15] demonstrated that vertical channel-all-around (CAA) FETs could be fabricated in hole structures by ALD. Compared to the traditional planar FETs, the vertical CAA FETs have a much smaller lateral size, which can be utilized to fabricate high-density 2T0C DRAM with 4F2 cell structure. All these results have shown the great promise of ALD to prepare high-performance oxide-based transistors.

4.2. Ferroelectric dielectrics

As the traditional transistor reach its physical limitation, the novel computing architectures, such as in-memory computing, are also attractive to boost the performance of the ICs beyond the Moore's law. Ferroelectricity is featured for the presence of two states of spontaneous electric polarization that can be reversibly switched by an applied electric field. The two-state behavior can be utilized for the binary data processing in digital computing, which perfectly meets the requirements of the in-memory computing. The HfO2-based ferroelectric materials can be deposited by ALD, which is well compatible with the contemporary IC fabrication, and therefore, the HfO2-based materials are highly promising for building new devices based on the ferroelectricity [301]. The ferroelectricity of the ultrathin HfZrO (HZO) films deposited by ALD was confirmed by Cheema et al [302]. The composition of the HZO films could be tuned by the subcycle numbers for HfO2 and ZrO2, and switchable polarization was observable even for the HZO thickness downscaling to 1 nm (figure 11(a)).

Figure 11.

Figure 11. ALD prepared ferroelectric dielectrics. (a) Ultrathin ferroelectric HZO films deposited by ALD: schematic HZO unit cell, C–V characteristics, phase-contrast PFM image, and PFM hysteresis loops. Reprinted by permission from Springer Nature Customer Service Centre GmbH: Nature [302], Copyright (2020). (b) Ferroelectric diodes based on ALD HZO: schematic structure, energy band diagrams, and nonlinear diode-like I–V characteristics. Reprinted by permission from Springer Nature Customer Service Centre GmbH: Nature, Nature Communications [303], Copyright (2020). (c) Ferroelectric FETs based on ALD Si:HfO2: schematic structure, cross-sectional TEM image, hysteresis of transfer characteristics, and threshold voltage (VT) versus the programing voltage (VP). Reprinted with permission from [304]. Copyright (2017) American Chemical Society.

Standard image High-resolution image

The HfO2-based ferroelectric materials are well suited for non-volatile memories for their low power consumption to switch the polarity. This new type of memories has a higher density than the traditional DRAM. Luo et al [303] fabricated the ferroelectric HZO diodes by ALD and implemented these diodes in an eight-layer 3D array for memory applications. The diode memory devices showed a high operation speed of 20 ns and robust endurance of >109 (figure 11(b)). Another attractive application is the ferroelectric FETs, in which the transistor threshold voltage can be controlled by the polarization state of the ferroelectric layer. For instance, Halid et al [304] showed that the ALD Si:HfO2 could be implemented to fabricate ultrascaled ferroelectric FETs, and domain-level ferroelectric switching could be directly observed (figure 11(c)). Liao et al [305] demonstrated that 3D GAA nanosheet ferroelectric FETs could be made based on the ALD HZO, and the devices showed a large memory window of 1.3 V, robust endurance cycles of >1011, and stable data retention of >20 000 s. All these results indicate that, with the ALD technology, the dimensional scaling of the ferroelectric devices is highly promising for future advanced memory and computing technologies.

4.3. Metal interconnects

As the technology node of integrated ICs continues to shrink down to 3 nm and beyond, the increasing density of the transistors has led to a significant increase in the interconnect complexity, and this complexity presents serious challenges to the BEOL interconnect fabrication. In particular, the linewidths of the local interconnects in the first few interconnect layers are less than 20 nm and their aspect ratios are more than 4:1. Hence, it is necessary to achieve uniform sub-nm thicknesses for the barrier/liner/seed layers in trenches and vias to ensure enough space for electroplating the metal wires. This is obviously a great challenge for the traditional PVD because of its tendency to form overhangs, as shown in figure 12(a) [306]. Severe overhangs leave only a small gap space to fill the metal, which would result in the increase of the line resistance and resistance–capacitance delay, and also reduce the interconnect reliability. ALD has the advantages of high uniformity, excellent step coverage, and atomic-scale thickness control, which makes it one of the key technologies for advanced interconnect fabrication, as shown in figure 12(a). To be compatible with the process temperature limit of BEOL (⩽400 °C), the thin-film coatings can be prepared by PALD. In the damascene Cu process, TaN, Ta, and Cu metals are used as the barrier, liner, and seed layers, respectively [307]. All these metals can be prepared by PALD. For instant, low-resistivity TaN films can be deposited from tertbutylimidotris(diethylamido)tantalum (TBTDET) and H2 plasma [308]. The Ta films can be deposited from TaCl5 and H2 plasma [128, 309]. The high-quality Cu seed layers can be deposited from Cu(acac)2 for interconnects [310]. In another case, Guo et al reported that the Cu thin films could be deposited from [Cu(iPr2AMD)]2 and H2 plasma at temperature as low as 50 °C, and the properties of the ALD Cu films, such as continuity, uniformity, and resistivity, were comparable to the PVD Cu films (figure 12(b)) [186].

Figure 12.

Figure 12. ALD for metal interconnects. (a) Schematic illustration of the PVD and ALD barrier/liner processes. (b) ALD of Cu films from [Cu(iPr2AMD)]2 and H2 plasma inside a 10:1 trench. Reprinted with permission from [186]. Copyright (2015) American Chemical Society. (c) ALD of Co films inside 24 nm-deep trenches for direct Cu electroplating. Reprinted from [311], Copyright (2014), with permission from Elsevier.

Standard image High-resolution image

As the Cu interconnect technology advances, Co and Ru have been developed to replace Ta as the liner material. Co and Ru have a better wettability with Cu, which can facilitate the filling of Cu during the electroplating, and they can also improve the overall integrity of the TaN barrier [312, 313]. For example, Park et al demonstrated that the Co films deposited from dicobalt hexacarbonyl tert-butylacetylene (CCTBA) and H2 plasma could be used for direct Cu electroplating (figure 12(c)) [311]. Swerts et al reported that the Ru films could be deposited from (MeCpPy)Ru and N2/NH3 plasma at 330 °C for interconnect applications [314]. In the advanced interconnect technology, Cu wires have been gradually replaced by Co or Ru for high-conductivity narrow interconnects [315]. The Co interconnects have been used in the advanced nodes of chip fabrication, while the Ru interconnects are still at the laboratory research stage. The barrier and liner materials of these two interconnects are TiN and Ti, respectively, which can also be obtained by PALD [128, 316]. Therefore, ALD is a critical fabrication technology for the advanced IC interconnects.

5. Summary and outlook

In summary, ALD is a unique technology to deposit highly uniform conformal thin films with atomic-level controllability, and it has become extensively applied to varieties of applications along with decades of development. To achieve the precise control over the film thickness, morphology, conformality, and composition, understanding the involved self-limiting surface chemical reactions is crucial. The surface chemistry mechanisms can be generally classified into four categories, which are the ligand-exchange, dissociative or non-dissociative chemisorption, oxidation, and reduction mechanisms. These mechanisms are not fully separate, and in many cases, an ALD process might consist of multiple reaction mechanisms. Nonideal factors, such as nucleation delay, byproduct adsorption, ligand decomposition, agglomeration, and ion diffusion can also be present in some ALD processes, and these nonideal factors can greatly influence the morphology and impurity of the deposited films. The precursor chemistry is also very important. To this end, we elaborately discussed various types of the compounds that have been used as the ALD precursors. Particularly, for the metalorganic precursors, the design of the ligand structures is the key to achieve the desired features of high volatility, high thermal stability, and good self-limiting reactivity. Then, we discussed a few emerging applications of the ALD technology for microelectronics, including BEOL-compatible oxide-based transistors, ferroelectric dielectrics, and metal interconnects. All these applications indicate an increasingly important role of ALD for future advanced IC technologies.

Over the past decades, the ALD technology has been developing very rapidly; however, many challenges remain to be solved. For instance, there are still many materials that have not been synthesized by ALD. Particularly, the multielement compounds (e.g. ternary and quaternary compounds) are challenging to tune their elemental composition continuously by ALD [22]. Currently, the common approach to deposit the multicomponent compounds is to use the supercycles that consist of the ALD subcycles for the constituent binary compounds. In this approach, the tuning of the composition is discrete, and moreover, the afforded composition is often deviated from the expectation that is based on the ALD growth rates of the individual binaries. This deviation is mainly because, in the supercycle manner, the nucleation effects are substantially amplified, owing to the frequently switching between the depositions of different materials. To tackle this issue, surface chemistry investigation and precursor engineering is much needed to elucidate and tune the nucleation behavior.

On the separate note, area-selective ALD is an emerging technology that has recently attracted increasing attention. This technology is of great potential for the applications in the microelectronics industry to eliminate the edge placement errors in photolithography [12]. To realize this technology reliable for the industrial applications, it is necessary to understand the relevant surface chemistry so as to accordingly design the ALD precursors that can grow only on the target areas.

In addition, the throughput is often a limiting factor of ALD for high-volume manufacturing. The batch-type ALD systems are capable of processing thousands of wafers simultaneously, and they have been used in the manufacturing of solar cells [317]. Spatial ALD has also been considered as a solution to improve the throughput [317319]. In spatial ALD, the surface chemical reactions are separated in space, rather than in time as in the conventional ALD. However, the spatial ALD approach is more sensitive to the kinetics of the surface chemical reactions, and therefore, the surface chemistry and precursor chemistry is even more important for this technology toward large-scale manufacturing.

In conclusion, chemistry plays a decisive role in the ALD technology. A comprehensive understanding of the ALD chemistry can significantly promote the development and application of the ALD technology.

Acknowledgments

This work was supported by NSFC (22175005), Guangdong Basic and Applied Basic Research Foundation (2020B1515120039), Shenzhen Fundamental Research Program (JCYJ20200109110628172, GXWD20201231165807007-20200802205241003), and Guangdong Technology Center for Oxide Semiconductor Devices and ICs.

Please wait… references are loading.