Table of contents

Volume 45

Number 10S, October 2006

Previous issue Next issue

Plasma Processing

Production and Control of Reactive Plasmas

8035

and

A new type of plasma source for the uniform processing of large surfaces, that is distributed ferromagnetic inductively coupled plasma (DFICP) is explored in this study as an alternative to existing schemes. The basic principles of operation, the main features of DFICPs and their distinctions from the existing rf plasma sources are considered here. The electrical and plasma characteristics of different DFICPs operated at 400 kHz measured in wide ranges of rf power and gas pressure are investigated here and compared with those measured in a conventional ICP operated at 13.56 MHz.

8042

, , , , , , , and

Recent trends of liquid crystal display (LCD) fabrication toward a significant enlargement of glass substrates require large-area plasma sources with a scale length exceeding 1 m. To meet this requirement, large-area plasma sources with internal low-inductance antenna (LIA) units have been developed for uniform processes, in which design principles for selecting antenna size and configurations in the multiple installation of the LIA units are established. In this study, the effects of antenna size were examined in terms of plasma production characteristics indicating small increase in plasma density with a decrease in antenna size (or antenna impedance). Furthermore, plasma density distributions with the LIA units were investigated to understand the nature of plasma diffusion, which can be utilized for designing plasma profiles with multiple LIA units. First, it was shown that the plasma density distributions followed exponential decay as a function of distance from the antenna. Secondly, the measured plasma density profiles with multiple LIA units were shown to agree well with those obtained by superposing those described by exponential functions, which can be utilized for prediction.

8046

, , , , , and

We have developed a cylindrical RF plasma source by the inductive coupling of multiple low-inductance antenna (LIA) units and analyzed the plasma density profile of this source using fluid simulation. Experiments using four LIA units showed a stable source operation even at 2000 W RF power, attaining plasma densities as high as 1011–1012 cm-3 in an argon pressure range of 0.67–2.6 Pa. The amplitude of antenna RF voltage was measured to be less than 600 V, which is considerably smaller than those obtained using conventional ICP antennas. The radial distribution of plasma density sustained using four LIA units showed excellent agreement with profiles numerically predicted using a fluid-simulation code.

8050

, and

The flow energy of nitrogen ions produced by an electron cyclotron resonance (ECR) discharge is controlled by electrostatic acceleration in a plasma synthesis method. The source consists of an ion production region using ECR and a plasma synthesis region. Two grids for potential control and electron reflection of the ion production region, and an electron emitter with mesh shape are installed. In the synthesis region, electrons emitted from the emitter and ions accelerated by electrostatic potential difference between these regions are synthesized, which yields the generation of ion flow in the synthesis region. The electron temperature in the plasma synthesis region can be reduced to about 0.5 eV because of a reflection of high-energy electrons in the ion production region and a supply of thermionic electrons. In addition, it is observed that the density ratio of nitrogen atomic to molecular ions in the synthesis region markedly increases compared with that in the ion production region.

8055

, , , and

Planar plasma of 30 cm diameter excited by microwave with an annular slot antenna is described. The annular slot antenna consists of two layers of dielectric plates with a filling of an aluminum foil. Its structure resembles a sandwich but the diameter of the aluminum foil is slightly less than that of a plate so as to form an annular slot at the margin of plates. The experimental results showed that the uniformity of plasma density in the radial direction has been improved. No plasma density jump was found when Ar gas pressure increased from 20 to 100 Pa or microwave power increased from 200 to 800 W. The working mechanism of the antenna used in our experiment has been analyzed with the experimental results.

8059

, , , and

The spatial structure of waves and plasma uniformity in microwave discharges using a multi-slotted planar antenna are investigated experimentally and by calculation. The wave field amplitude and phase are measured in the axial and azimuthal directions, and are compared with three-dimensional finite-difference time-domain calculation result. The wave mode in the plasma does not change with various densities, and, as a consequence, spatially uniform plasmas are obtained for a wide range of parameters being free from abrupt changes associated with surface wave eigenmodes.

8065

, , and

It is necessary to supply nitrogen radical fluxes in high uniformity to form high-quality nitrogen-incorporated films. An experiment on gas phase chemical reaction using a microwave discharge device with a multi-slotted planar antenna is performed. We use a three-dimensional simulation code, which calculates wave propagation in plasma obtained by the finite difference time domain method, power deposition, and plasma transport using a fluid model. The code can reproduce experimental results to a large extent. The code is capable of calculating several types of gas-phase reaction of neutral species. The results of both the experiment and the simulation show that the uniformity of the density distribution of nitrogen radicals strongly depends on the uniformity of the plasma.

8071

, , and

In this study, we investigate the effects of electron temperature Te on the production of nanoparticles by using the grid-biasing method in hollow-typed magnetron radio frequency (RF) CH4/H2 plasma. We find that nanoparticles are produced in low-Te plasma. On the other hand, thin film depositions, such as nanowalls, are mainly observed and almost no nanoparticles are created in high-Te plasma. This implies that a reduction in the CH2/CH3 radical ratio is important for producing nanoparticles, together with a reduction in sheath potential in front of the substrate. The change in electron temperature in plasma has a marked effect on film quality.

8075

, and

An alkali-halogen plasma can be used as an ion source in plasma applications. The plasma is generated by a dc magnetron discharge using thermal cathodes under a uniform magnetic field. Alkali-salt vapor is dissociated and ionized by E×B-drift electron impact, and alkali positive ions and halogen negative ions are produced. A magnetic-filter region is located at an exit of the discharge region and electrons are removed from the plasma. Pronounced thermionic emission and a strong B field are optimized, resulting in the generation of alkali-halogen plasma with an ion density of 3×108 cm-3 at B=0.2 T.

8080

and

We have investigated positively-charged fine particles in a cross-field sheath between magnetized double plasmas with different potentials separated vertically by the horizontal magnetic field. In the sheath the charges on the particles become positive, because ion current flowing from a lower high-potential plasma surpasses electron current coming across the magnetic field from an upper low-potential plasma. In this report, we have found a phenomenon that the particles can be sustained in the cross-sheath even when the levitation electrode is completely removed from the cross-sheath region. We also discuss the sheath structure between magnetized double plasmas.

8085

, , , and

When a nonequilibrium Ar/N2 plasma jet is impinging onto a substrate, the effects of operating pressure, applied magnetic field on the radiation intensity from nitrogen radicals, heat flux into the substrate, behaviors of the plasma jet impinging onto the substrate are experimentally clarified. The constant-value control of radiation intensity, substrate heat flux, jet axis deviation, and jet width fluctuation is achieved for high-quality material processes by a proportional plus integral (PI) control method with the suppression of the unstable fluctuation of plasma jet.

Plasma Diagnostics and Monitoring

8090

, , , and

Laser absorption spectroscopy of aluminium atoms in pulsed magnetron discharge with pure argon, argon/oxygen, and argon/methane gas mixtures is reported. Time-averaged densities and temperatures of sputtered aluminium atoms were extracted from the absorption signal. The measured Al density depended almost linearly on the pulse current and showed a small dependence on pressure. Al atom temperature increased with discharge current and vice versa with pressure. A drastic decrease of the Al atom density was observed in the Ar/O2 mixture. The Al density dropped to a value close to zero once a critical oxygen gas flow rate was reached. This critical oxygen gas flow was significantly higher in pulsed mode than in DC mode.

8095

, and

Time-resolved cavity ringdown (τ-CRD) spectroscopy has been applied to measure the SiH3 radical density profile between the electrodes in a pulsed SiH4/H2 very high frequency (VHF) plasma under µc-Si:H deposition conditions. On time scales smaller than ∼1 s, cavity loss reflects the light absorption by SiH3 radicals, whereas on time scales larger than ∼1 s, an additional cavity loss due to light scattering at Si clusters and dust particles, generated in the pulsed SiH4/H2 VHF plasma, is observed. From the measurements of the spatial distribution of SiH3 radicals between electrodes, the incident SiH3 radical flux to the electrode surface is determined, which reveals a significant contribution of SiH3 radicals to µc-Si:H thin film growth.

8099

, , , , and

A supersonic metastable helium (2 1S) pulsed beam source, which consists of an electromagnetic valve, a collimation skimmer, and discharge electrodes for production of a plasma, has been constructed, which is essential for the direct measurement of electric fields in plasmas by means of polarization laser-induced fluorescence spectroscopy. A supersonic helium atomic beam with a short pulselength (∼300 µs), narrow divergence (∼1.1°), and high density of ∼1.4×1014 cm-3 has been achieved. In order to generate metastable atoms in this beam source, a Penning-type discharge was employed, which is suitable for producing stable plasma with high temperature, even at low gas densities, due to the particular configuration of electrodes together with a magnetic field. Spectroscopic observations indicated that the temporal behaviors of neutral atom and ion emissions were almost the same as that of the helium atom profile, and on increasing the discharge voltage applied between electrodes, the spectral intensity increased approximately linearly. Moreover, the fact that the ion emission can also be observed showed that it was probable that a high-temperature plasma was generated by the Penning discharge.

8104

, , and

To investigate the degradation process of the MgO layer in a plasma display panel (PDP) discharge cell, measurements of Mg particles emitted from the surface of the MgO layer during an ac-PDP discharge were performed using the laser-induced fluorescence (LIF) technique. The spatial distribution of LIF signals agreed with the erosion pattern of the surface of the MgO layer. It was also found that a Mahalanobis–Taguchi system (MTS) where multi-dimensional measured values (e.g., discharge current, voltage, and luminance) were consolidated and converted into a standard was useful for the quantitative evaluation of the degradation degree of PDP discharge cells.

8109

, , , , and

A laser-induced fluorescence (LIF) technique was applied to the measurements of the temporal and spatial distributions of Ba atoms in the vicinity of the electrode of a fluorescent lamp operated at 60 Hz. Ground-state (61S0) Ba atoms were excited to a 51P1 level (350.1 nm) by a frequency-doubled dye laser beam, and the subsequent fluorescence (51P1–51D2, 582.6 nm) was detected. Over a whole periodic time (16.67 ms), the density of the Ba atoms was found to have two peaks, and the number of Ba atoms emitted in the anode half-cycle was about twofold larger than that emitted in the cathode half-cycle. This difference between the Ba atoms emitted during the anode half-cycle and those emitted during the cathode half-cycle was studied for lamps with different gas pressures. Ba atoms were found to be emitted mainly from the hot spot of the filament electrode. It is suggested that the main factor for Ba atom emission from the electrode is not sputtering by ion bombardment but thermal evaporation.

8113

and

In this study, we used optical emission spectroscopy (OES) for examining the temporal variations in the densities of Y, Ba, and Cu atoms sputtered from a YBaCuO target in a magnetron plasma source. It was found that the densities of Y and Cu changed with the discharge period. In a low-pressure, low-power discharge, the temporal variations in the Y and Cu densities were small, whereas they became significant at a high pressure and a high power. Ten minutes after the initiation of the discharge, Y density decreased to 8% of the initial value at an rf power of 70 W and a gas pressure of 300 mTorr. On the other hand, the optical emission intensities from Ar and Ba were roughly constant during the same discharge period. The roughly constant Ar intensity indicates that electron density and electron temperature were almost constant. The discovery of temporal variations in the Y and Cu densities is important for optimizing the discharge parameters for the deposition of high-quality, high-critical-temperature (high-Tc) superconductor films.

8118

, , , and

The time-resolved electron energy distribution functions (EEDFs) in Ar and Ar/O2 pulse-modulated inductively coupled plasmas have been measured in the afterglow for the first time using laser Thomson scattering. The measurements were performed for RF powers of 100 and 500 W at a frequency of 13.56 MHz, a duty cycle of 50%, and a pulse repetition frequency of 12.5 kHz. The Ar pressures were 20 and 100 mTorr at a flow rate of 132 sccm, and the Ar/O2 (10% O2) pressure was 20 mTorr at a flow rate of 132 sccm. The measured EEDFs were all Maxwellian for the Ar and Ar/O2 (10% O2) plasmas. The Maxwellian distributions in the afterglow may be attributed to electron–electron collisions.

8123

, , , , , , and

Holographic interferometry of atmospheric RF barrier-torch discharge burning in argon and helium is reported. Holographic interferometer of Mach–Zehnder type with He–Ne laser was used for temperature measurement of neutral particles of working gas. Interference pattern was registered by a high-speed charge-coupled devices (CCD) camera. Resulting temperature ranged from 330 to 450 K. A slight difference between temperatures measured close to the glass nozzle outlet and close to the substrate was observed.

8128

, , , , , and

Experimental results of the characterization of a cavity hollow-cathode post-discharge sputtering source by optical emission spectroscopy are presented. The discharge parameters are similar to those currently used in sputter-deposited ferromagnetic (Ni, Fe) and nonferromagnetic targets (Cu, Ti): Ar pressures, 6×10-2–1×10-1 mbar and discharge currents, 5–60 mA. The spatial distribution of the relative electron density in front of the cathode nozzle was determined from the radial distribution of light intensity by applying the Abel transformation. Therefore, the optical data could be correlated with the electrical diagnostic results obtained under low-density plasma conditions. The radial and axial distributions of the emission spectra were also mapped in the 340 to 650 nm wavelength range for Ar and metal (Ni, Ti, Cu) species.

8132

, , , , , and

The pulsed operation of a cavity hollow-cathode post-discharge sputtering source was proposed to facilitate the sputtering of low-conductivity materials. Self-oscillating and externally driven pulsed regimes were investigated. The plasma density, temperature and relative density of atomic species along the discharge axis were determined by optical emission spectroscopy and Langmuir probe diagnostics. The temporal evolution of these parameters and dynamic current–voltage characteristics of the discharge were recorded in both regimes as functions of gas pressure and discharge current. The results bring more insight into the utilization of the above-mentioned device as a small sputtering source.

8137

, , , and

A unique point is observed on Langmuir probe characteristics when sinusoidal potential fluctuations with frequencies less than ion plasma frequency are applied. When a direct current (DC) voltage is applied to the probe, current does not change at different sinusoidal radio frequency fluctuation amplitudes. The difference between the DC voltage at this point and that at a point in plasma space is approximately one-half the electron temperature. To analyze this point, Monte Carlo simulations are performed. It is found that an ion density peak appears near the sheath edge when the positive probe potential decreases and it propagates toward the plasma when the probe potential is negative. It is concluded that this peak may be related to the plasma oscillation at the sheath edge and may govern the electron flow toward the probe.

8141

The phase velocity v of a sound wave with angular frequency ω increases as gas pressure p decreases, and v/cs is represented by r= p/µω in the range of 0.1<r<10, where µ is the gas viscosity and cs is the sound velocity, given by (γkBT/m)1/2 (γ: ratio of specific heat, kB: Boltzmann constant, T: temperature, m: mass of gas molecule). This indicates that gas pressure can be evaluated by the measurement of the phase velocity of a sound wave with one frequency if gas temperature is known, or gas temperature can be evaluated by the measurement of the phase velocity of a sound wave with one frequency if gas pressure is known. In this paper, we present a new method for evaluating gas pressure and temperature simultaneously by the measurement of the phase velocities of sound waves with two different frequencies using propagation characteristics.

Modeling and Simulation

8145

, , , , and

A self-consistent Monte Carlo particle simulation is carried out to study a transition process of macroscopic spatial structure in a plane-parallel hollow cathode discharge filled with argon. The fine subslab technique and the weight probability method are introduced here. The photoelectric emission is considered. The simulation results show that the luminous structure of the discharge is rapidly changed after a step-increase in applied voltage, and eventually the discharge plasma seems to be sustained dominantly by secondary electrons (i.e., the γ effect) as judged from the potential profile. In the non-equilibrium transition phase, the electron energy distribution is found to consist of two components that are thermal electrons and the high-energy tail electrons.

8151

and

We present particle-in-cell simulations of capacitively-coupled CF4 RF discharges. For establishing the discharge plasma high frequency sources of either 13.56 or 100 MHz are used, while a low frequency 0.7–1.0 MHz source is applied for biasing. The simulation results demonstrate that an efficient decoupling between the plasma and the biasing sources can be achieved by optimizing the choice of frequencies. The decoupling is observed by a small effect of varying of the biasing voltages of the RF sources on properties of the bulk of the plasma and the flux of the CF3+ ions hitting the electrodes, while the mean energy of ions increases with the biasing voltage. The 100 MHz/1 MHz case allows setting of these ion properties in an especially wide range. For high values of the low-(biasing) frequency RF voltage a small flux of energetic negative ions is also observed at the electrodes.

8157

, , , , and

A phenomenological model has been developed to simulate the feature profile evolution of polycrystalline silicon (poly-Si) gate etching in Cl2/O2 plasmas. The model takes into account the deposition of etch products, surface oxidation, and the forward reflection of energetic ions on feature sidewalls. To describe the formation of multilayer SiClx or SiClxOy on feature surfaces during etching, the substrates consist of a number of small cells or lattices of atomic size in the computational domain; this model provides a nanometer-scale representation of the feature geometry and the chemical constituents therein. The inelastic or nonspecular reflection of incoming ions from feature surfaces and the penetration of ions into substrates are incorporated into the model by calculating the trajectory of ions through successive binary collisions with substrate atoms. Etching experiments were performed to evaluate and improve the accuracy of the model. To analyze the effects of the control variables of a plasma reactor on profile evolution, the simulated profiles for different gas flow ratios and incident ion energies were compared with the etched profiles obtained in the experiments. The numerical results reproduced the behaviors of profile anomalies such as sidewall tapering and microtrenches at the corner of the feature bottom, upon varying the incident fluxes of O neutrals and etch by-products, and the incident energy of ions. Moreover, the simulated profiles exhibited passivation layers deposited on feature sidewalls, which is a similar geometry to those obtained in the experiments.

8163

and

Effects of the incident angle of deposition species on film properties during reactive sputtering deposition processes for amorphous SiO2 are examined with the use of molecular dynamics (MD) simulations combined with Monte Carlo (MC) simulations. From the simulation results, it has been confirmed that some properties of the deposited film strongly depend on the incident angle of Si atoms sputtered from the target. For example, the film density is shown to become lower at larger Si incident angles. The stoichiometry of the film expressed by the ratio of the number of O atoms to that of Si atoms contained in the film has been also shown to increase as the Si incident angle increases. In other words, as the angle of incidence for Si atoms becomes large, the film becomes more oxygen rich and porous. Possible physical mechanisms causing these effects are also discussed from the viewpoint of motion of incident Si atoms interacting with the surface atoms.

8168

and

Two-dimensional temperature rising in an austenitic stainless steel SUS304 trench object with plasma-based ion implantation (PBII) was numerically simulated, where the thermal input on the surface of the trench structure was derived from the calculation of plasma sheath evolution. The difference in temperature distribution was a few Kelvin although the convex corner of the trench had a larger thermal flux than the concave corner. Using the calculated temporal evolution of object temperature, we numerically studied the diffusion of implanted nitrogen ions in the SUS304 trench surface heated by PBII. In addition, the formation of the expanded austenitic phase γ'N-Fe, which can enhance the hardness of the material, is discussed on the basis of the calculated depth profile of the implanted nitrogen.

8172

, and

The results of a two-dimensional fluid simulator were validated against the experimentally measured electrical properties (power dissipation and discharge current) of SiH4/H2 RF discharges used for the deposition of microcrystalline or amorphous silicon thin films. The use of the typical values for the electron–SiH4 and H2 collision cross sections found in the literature, results in a significant underestimation of the calculated power dissipated in the discharge and an overestimation of the current flow. A study of the main parameters affecting the model results showed that this deviation is mainly due to the H2 ionization rate. An improved calculation of the power dissipated in the discharge was then possible, leading to a significant improvement in the prediction of the deposition rate. This result underlines the importance of H2 chemistry in SiH4/H2 discharges and also indicates that the correct estimation of the discharge electrical properties is a necessary first step in the development of a code for simulating the deposition of silicon films from SiH4/H2 discharges.

8177

, , , , , and

We have numerically studied microwave plasma discharge for the chemical vapor deposition of single-crystal diamond. It is experimentally confirmed that the arrangement of the seed substrate and local configurations affect the growth rate and macroscopic surface morphology. We found correspondences between experimentally observed growth rates/surface morphology and numerically predicted distributions of microwave power density/substrate temperature/gas flow. These correspondences are instructive information for designing improved reactors that can synthesize larger crystals with a higher quality more efficiently.

Atomic and Molecular Processes and Transport Phenomena

8183

, , and

Recent advances in the measurement of electron collisions with atoms and molecules are discussed and illustrated through their application to a range of targets of relevance to plasma and discharge technologies. Techniques that are discussed include, laser cooling and trapping, time-of flight spectroscopy, large angle scattering, and conventional energy loss spectroscopy. Their application to targets such as metastable helium, chloro- and flouro-carbons, SF6 and H2O are presented. Future studies involving molecular radical species are also discussed.

8188

, , , and

We measured absolute partial cross sections for the formation of various singly charged positive ions produced by electron impact on SiClx (x = 1–4) using two different experimental techniques, a time-of-flight mass spectrometer (TOF-MS) and a fast-neutral-beam apparatus. The energy range covered was from the threshold to 900 eV in the TOF-MS and to 200 eV in the fast neutral beam apparatus. In the case of SiCl4, the absolute cross sections obtained by the two different experimental techniques were found to agree very well. The ionization of the SiClx (x = 1–3) free radicals can only be studied using the fast-beam technique. Some of the partial cross section curves were found to exhibit an unusual energy dependence with a pronounced low-energy maximum at an energy around 30 eV, which is in some cases followed by a shallow minimum at about 40 eV and a broad second maximum at around 100 eV. This pronounced low-energy maximum is indicative of the presence of an indirect ionization channel and is similar to what has been observed earlier for TiCl4 and the TiClx (x = 1–3) radicals. Similarities in the contribution of the indirect ionization channel to the formation of the various SiClx+ and TiClx+ (x = 1–4) ions will be highlighted in this paper.

8192

, , and

We review data sources for electron–molecule scattering cross sections, paying particular attention to triatomic molecules, such as CO2, N2O, and OCS. Experimental cross sections obtained by beam techniques are compared with those obtained by swarm-data modeling. The need for measurements of inelastic processes, particularly electronic excitation and dissociation, is stressed.

8197

, , , , and

This paper reports on the first measurements of the electron impact electronic excitation cross sections for hexafluoropropene (C3F6) measured at the two experimental conditions of 20 eV and 10°, and 100 eV and 5°, while sweeping the energy loss over the range 2–14 eV. Similarity between these two spectra shows the insignificance of optically forbidden transitions in these molecules. Three broad features have been observed at about 7, 7.5, and 8.2 eV and attributed to the C=Cπ→C–Fσ*; C=Cπ→C=Cσ* (both dissociative); and 8.2 eV, due to C=Cπ→C=Cπ* excitations. The EELS for impact energy 100 eV and angle 5° rise rapidly above 11 eV and shows a broad resonance structure centered around 12.7 eV. Similarities in the repulsive energy loss features have been observed in the comparative study of the C3F6 EELS for 100 eV, 5° with the similar one for C2F4 and attributed to the common electronic transitions into C=C antibonding orbitals, albeit with peak position differences below 10 eV.

8200

The probability for surface atomic recombination of a single gas is theoretically investigated, for a system that takes into account atomic adsorption in physisorption and chemisorption sites, surface desorption, surface diffusion, and both Eley–Rideal (E–R) and Langmuir–Hinshelwood (L–H) recombination mechanisms. Analytic asymptotic solutions are obtained for the value of the recombination probability and are validated by their comparison with numeric calculations for the system of equations describing the same sequence of elementary processes. The dependence of the recombination probability on the value of the wall temperature is analysed in detail.

8204

, , , and

Fragment ions produced from dimethylsilane with a hot tungsten wire (i.e., catalyzer) in catalytic chemical vapor deposition (Cat-CVD, which is also known as hot wire CVD) processes are identified with a use of a low-energy mass analyzed ion beam system. The mass analysis shows that dominant fragment ions from dimethylsilane are H1+, H2+, CH3+, Si+, SiH3+, SiCH4+, SiC2H+, and SiC2H7+. The energy distributions of these ions are also measured. It is found that the spreads of the energy distributions are narrow and no energetic ions are produced, suggesting that the produced ions are unlikely to cause any significant damage to the deposited films in actual dimethylsilane Cat-CVD processes. The ion production rates are found to be strongly dependent on the catalyzer temperature.

8208

, , , and

Measurements of the densities of the molecular and atomic ions (nH+, nH2+, nH3+) were carried out in hydrogen plasma with a hydrogen gas puff at discharge current of 50 A. The molecular and atomic ion currents were detected using an "omegatron" mass analyzer. The electron density and temperature were measured using a Langmuir probe and a double probe. From the measured parameters, a zero-dimensional model using the relevant rate balance equations was found to predict the observed dominant ion density.

8212

, , , and

The system of a magnetized sheet plasma crossed with a vertical gas flow has been proposed to produce a high H- density. Under a secondary hydrogen gas supply entering into the plasma, the peak position of the H- ion density nH- is localized in the periphery of the sheet plasma. The value of nH- increases with increasing the discharge current Id. The variation of the hydrogen negative ion density is proportional to the vacuum ultraviolet (VUV) emission intensities of the Lyman band and the Werner band in hydrogen molecular bands. The measured H- density was compared with the theoretical calculation based on the rate equation of the transport of vibrationally excited hydrogen molecules H2*(X1Σg+, v''>5).

8217

, , , , and

A particle model is developed using the test-particle Monte Carlo method to study the transport properties of α-ray generated ion clusters in a flow of air. An efficient ion–molecule collision model is proposed to simulate the collisions between ion and air molecule. The simulations are performed for a steady state of ion transport in a circular pipe. In the steady state, generation of ions is balanced with such losses of ions as absorption on the measuring sensor or pipe wall and disappearance by positive–negative ion recombination. The calculated ion current to the measuring sensor agrees well with the previous measured data.

Microplasmas and Atomospheric Pressure Plasmas

8221

, , and

Microcavity plasma devices with circular, crescent or, for example, trapezoidal cross-section microcavities (characteristic dimension d=30–100 µm), produced by excimer laser ablation and overcoated with a silicon nitride barrier film, have been fabricated in Ni/30 µm polyimide/3 µm Cu layered substrates. 12×12 arrays of devices with cylindrical microcavities 100 µm in diameter exhibit turn-on voltages of 255–270 Vrms for a Ne pressure of 700 Torr and a sinusoidal excitation voltage having a frequency of 5–20 kHz. All of the device designs explored to date operate in the abnormal glow region, and an increase of 15–20% in the ignition voltage for these arrays is observed when pd is raised from 4 to 5 Torr cm. Tests in which the arrays were intentionally damaged or photoablation parameters were altered from the optimal values show the microplasma devices to be extraordinarily robust and insensitive to the cross-sectional shape of the microcavity.

8225

, , and

Using carbon nanotubes (CNTs) or a flat Pt film for the cathode, microplasma was produced in a scanning electron microscope (SEM) chamber containing Ar gas at nearly atmospheric pressure, with gap lengths from 10 to 800 µm. Field electron emission measurements were carried out in the SEM chamber, and gas discharges were conducted at the same configuration in a gas cell installed in the SEM chamber. The ignition voltages using the CNT cathode were much lower than those using the flat Pt cathode under the same conditions, because of the field electron emission. The ignition of gas discharge using the CNT cathode was reproducible every time, because of the ensured supply of primary electrons. It was suggested that the pulse discharge using the CNT cathode was a convolution of the discrete electron avalanche originating from the field emission.

8228

, , , , , , and

We developed a safe technique without using a toxic gas source to deposit tungsten oxide on a localized specific area using an atmospheric pressure microplasma jet. In this technique, a consumable tungsten wire, inserted into a quartz nozzle for microplasma generation, was etched with an O2/Ar microplasma, and the resultant tungsten oxide was deposited on the substrate placed downstream. The process mechanism was determined by the detailed observation of the deposit and consumed wire surface after processing, and optical emission spectroscopy. This technique is expected to be utilized for the localized deposition of a variety of metal oxides by varying the kind of consumable metal wire.

8235

, , and

A microwave-excited microplasma source for a miniature electrothermal thruster has been investigated by optical emission spectroscopy and an electrostatic probe. The microplasma source is made of a dielectric tube 10 mm long and 1.5 mm in inner diameter, producing high temperature Ar plasmas in the pressure range from 5 to 40 kPa. Plasma diagnostics showed that higher microwave frequencies and dielectric constants resulted in desirable plasma characteristics: electron densities of 1017–1019 m-3 and rotational temperatures of 700–1800 K at microwave powers below 10 W. Moreover, the temperature increased toward the exit of the plasma chamber where a micronozzle is equipped. Numerical analysis of thrust performance based on the plasma diagnostics indicated that smaller throat diameters of the micronozzle produced better thrust performances: thrusts of 0.98–1.2 mN and specific impulses of 67–81 s.

8241

, , , and

An atmospheric pressure glow discharge was generated using a needle-array electrode in nitrogen. A pulsed high voltage with short rise time under 10 ns was employed to generate streamer discharges simultaneously at all needle tips. The large number of streamer discharges prevented glow-to-arc transition caused by inhomogeneous thermalization. Semiconductor opening switch (SOS) diodes were employed as an opening switch to shorten the rise time. The glow current was drastically decreased by eliminating the SOS diode, in which case the charging voltage was directly applied to the electrode. Spatial- and time-averaged electron densities in a positive column were estimated from calculations based on nitrogen swarm data. The density was estimated to be 1.8×1011 cm-3, which was much larger than 9.7×109 cm-3 in the case without the SOS diode.

8246

, , , and

We experimentally determined the characteristics of atmospheric-pressure glow discharge (APGD) produced by pin–plate electrodes with a gas flow between the electrodes. APGD is sustained by applying a DC voltage between the electrodes with main and auxiliary air flows. The auxiliary air flow is added to the main flow in a localized region using a small nozzle. It is found for the first time that glow discharge current significantly increases when the auxiliary nozzle is set near the anode plate. By adding only 6% of the main flow as the auxiliary air flow, the maximum current of APGD increases threefold that in the case without the auxiliary flow.

8251

, , , and

The decomposition of toluene at very low concentrations (10–20 ppm) using a combination of densification by zeolite adsorption and dielectric barrier discharge (DBD) was investigated. The characteristics of toluene decomposition using DBD under open and closed-loop flow conditions were determined. Under the closed-loop gas flow condition, a high decomposition ratio (70–93%) and a high energy efficiency [8–19 g/(kW h)] were achieved for the adsorbed toluene (63% of the initial amount) by DBD treatment even at a high flow rate of 150 L/min. Also, by the periodic operation of adsorption/DBD treatment, it was demonstrated that DBD treatment regenerated the honeycomb sheet containing zeolites for subsequent adsorption. The usefulness of this method for the decomposition of hazardous materials at low concentrations was shown.

8255

, , and

The longitudinal electro-optic modulator technique based on Pockels effect has been applied for measuring the surface charge density in two types of dielectric barrier discharges: volume and coplanar. Discharges were generated in noble gases such as helium, neon and argon at pressures of a few hundreds of Torr. It is shown that the thickness of electro-optical crystal used determines not only the spatial resolution of the method, but also affects the value of surface charge density.

8260

, , , , , and

A novel atmospheric pressure plasma device that generates atomic hydrogen has been developed. The reaction of OH radicals with atomic hydrogen supplied from the device was investigated in a He/H2O mixture at a pressure of 530 Torr by laser-induced fluorescence (LIF) spectroscopy. OH radicals were generated using a dielectric barrier discharge (DBD) plasma and excited to A2Σ+(v'=1) from X2Π(v''=0) by a frequency-doubled dye laser (282 nm). When the device was operated, OH-LIF intensity was reduced near the DBD plasma. The spatial density profile of the OH radicals was also obtained when the device was turned on and off. The reaction mechanism was briefly discussed.

8264

, and

The distributions of NO and NO2 densities were measured in high-pressure streamer corona discharges by laser-induced fluorescence imaging spectroscopy at various delay times after applying pulsed high voltages between needle and planar electrodes. It was found that the decrease in NO2 density in an N2/O2/NO2 discharge was more efficient than that in an N2/NO2 discharge. The dominant removal reaction of NO2 from the N2/O2/NO2 discharge was NO2+O→NO+O2. The importance of this reaction has been confirmed by the simultaneous observation of the distributions of the NO and NO2 densities. The total amount of NO2 removed from the N2/O2/NO2 discharge roughly coincided with the total amount of NO observed at the same delay time.

8270

, , , , , and

By the application of atmospheric pressure plasma, we have developed a new ultraprecision machining method named plasma chemical vaporization machining (CVM). In this method, several types of rotary or pipe electrodes are prepared for optimizing the required machining. In particular, by rotating the electrode in a high-pressure environment, both the supply of reactive species to the machining point and the exhaust of reaction products are effectively performed. In the case of fabricating a grazing-incidence total-reflection X-ray mirror, figuring accuracies of 3 nm (p–v) or less were achieved and a nearly diffraction-limited focusing performance was realized. As a result of the correction of the thickness distribution of the AT cut quartz crystal wafer, thickness uniformity was improved to a 10 nm level, and resonance property was also markedly improved without degradation by high-energy ion bombardment.

8277

, , , , , , and

Silicon carbide (SiC) is expected to be a promising semiconductor material for high-temperature, high-frequency, high-power and energy-saving applications. However, it is so hard and so chemically stable that there is no efficient method of machining it without causing damage to the machined surface. Plasma chemical vaporization machining (PCVM) is a gas-phase chemical etching method in which reactive species generated in atmospheric-pressure plasma are used. PCVM has a high removal rate equivalent to those of conventional machining methods such as grinding and lapping, because the radical density in atmospheric-pressure plasma is much higher than that in normal low-pressure plasma. In this paper, the polishing characteristics of silicon carbide by PCVM are described. As a result, a high machining rate (approximately 0.18 mm/min) and a very smooth surface (below 2 nm peak-to-valley in a 500 nm square area) are achieved.

8281

, , , , and

By applying atmospheric-pressure plasma, we have developed a new ultraprecision machining method named plasma chemical vaporization machining (PCVM). In this method, several types of rotary or pipe electrode are prepared for optimizing the required machining characteristics. In particular, by rotating the electrodes in a high-pressure environment, both the supply of reactive species to the machining point and the exhaust of reaction products are effectively performed. To realize high-efficiency ultraprecision machining, optimum removal that corresponds to various spatial wavelengths should be performed. The spatial resolution range of the rotary electrode is 10–20 mm, and that of the pipe electrode is 1–2 mm. Therefore, the development of a new machining method that has a spatial resolution on the submillimeter order is required. In this paper, we propose a new machining method in which reactive species generated in atmospheric-pressure plasma are supplied to the workpiece surface through a small orifice with a diameter of 10 µm. We also report the machining characteristics of Si.

8286

, , and

An atmospheric pressure glow-discharge plasma in contact with liquid is generated using a capacitively coupled plasma (CCP) method, by which a boundary region between a plasma (gas-phase) and liquid paraffin (liquid-phase), i.e., gas–liquid interface is considered to be important. A stable atmospheric pressure plasma with liquid is achieved by selecting the appropriate mesh electrode and liquid paraffin. In addition, results of optical emission spectroscopy indicate that carbonic species come from paraffin in the interface region of the plasma. This plasma is accordingly expected to promote the use of an attractive plasma process for creating materials encapsulating various elements in liquids.

8290

, , and

The removal of methyl-tert-butyl ether (MTBE) from water by a pulsed arc electrohydraulic discharge (PAED) system was investigated experimentally at the laboratory scale. The effects of arc electrode gap, detention time and initial solution pH on the efficacy of MTBE treatment by PAED were investigated. A 0.3 kJ/pulse spark-gap-type power supply was employed in combination with a 3.0 L flow-through reactor. Experimental results showed that: 1) oxidation reactions are induced by this PAED system; 2) removal efficiency increases with increasing cumulative input energy (kW·h/m3 or kJ/L); 3) removal efficiency decreases with increasing arc electrode gap; and 4) initial solution pH does not have a significant effect on the efficacy of MTBE removal by PAED when the water matrix is buffered prior to treatment.

8294

, and

Atmospheric-pressure plasmas were produced on the surface of liquid water by radio-frequency (RF) power supply. A quartz chamber with an off-center inner electrode was used to generate discharges with a wide range of filling gas pressures. When the chamber was filled with fuel gas and liquid water and a sufficient RF power was supplied to the system, plasmas were observed to be produced around the inner electrode as well as on the water surface. Optical emission spectroscopy (OES) identified the generation of oxidative hydroxyl (OH) radicals and decoloration of methylene blue in the solution demonstrated oxidative capacity of water plasmas generated in this system.

8298

, , , , and

Pulsed arc electrohydroulic discharge (PAED) has been proposed as a water treatment technology for the removal of chemical and microbial contaminants. In this work, we examined the fundamental characteristics of a PAED system with an eccentric electrode cylindrical reactor. Phosphate-buffered saline (PBS) water was used in lieu of tap water, because the conductivity of PBS is much higher than that of tap water. The results show that the voltage and current waveforms generated in PBS and tap water are very different due to the higher conductivity of PBS. Strong pressure waves and UV emission were also observed in PBS.

Application to Nano/Bio Technologies

8302

We prepared an FePt nanoparticle film for magnetic storage media using 13.56 MHz glow-discharge plasma. Vapors of metal organics, namely biscyclopentadienyl iron (ferrocene) for Fe and (methylcyclopentadienyl)trimethyl platinum for Pt, were introduced into a capacitively coupled flow–through plasma chamber, which consisted of a shower head RF electrode and a grounded orifice plate electrode. Synthesized nanoparticles were directly collected onto a transmission electron microscope (TEM) grid or MgO substrate placed just below the grounded orifice electrode. TEM images showed two kinds of particles, one of which was nanometer sized and isolated and the other appeared as a coagulate of small particles. The diameter of the coagulated particle was larger than 10 nm. Nanometer size particles were separated and deposited on MgO substrate by adjusting the gas flow rate through the grounded orifice plate. The magnetization of the FePt nanoparticle film was evaluated by magneto-optical Kerr effect (MOKE) spectroscopy. As-synthesized nanoparticles did not exhibit a loop-shape magnetization curve. Annealing in atmospheric hydrogen was conducted to transform crystal from fcc structure to fct L10 ordered structure. The higher annealing temperature resulted in the more ordered L10 phase formation and the larger coercivity. A sample annealed at 750 °C exhibited a room temperature coercivity of 10 kOe. Also, it was confirmed that nanoparticle film density is critical to the magnetization.

8308

, , and

Very highly aligned carbon nanotubes (CNTs) were synthesized by radio-frequency-plasma-assisted direct current (DC) plasma chemical vapor deposition, which was developed for the large-area growth of highly aligned CNTs under a stable condition in a DC plasma. Three parallel electrodes, namely, an RF electrode, a grounded electrode, and a DC cathode, were arranged in a vacuum chamber. It was found that the application of a high DC voltage at a high pressure forms a high sheath electric field, resulting in the highly aligned growth of CNTs without severe damage.

8311

, , , , and

The behavior of the electrostatic adsorption of a single ferritin protein supramolecule, which formed a nanodot in its inner cavity, on a nanometric 3-aminopropyltriethoxysilane (APTES) pattern made on an oxidized Si substrate was studied using a numerical calculation. The total interaction free energy of the system, which included a ferrin, a substrate with an APTES nanopattern and a buffer solution, was calculated. The obtained distribution of the interaction potential that ferritin experiences can be used to explain theoretically the ferritin adsorption onto a quadrilateral array of 15-nm-diameter APTES nanodisks placed at intervals of 100 nm under a Debye length of 14 nm. This numerical calculation method described here can be applied to the estimation of the electrostatic adsorption behavior of nanometer-sized material as well as proteins.

8317

and

The interactions between energetic ions and nanotubes are important in the fabrication of plasma-aided carbon nanotubes, especially in the process of sputter-etching and particle encapsulation into the nanotubes. In this study, it was investigated that the bamboo structured multi-walled carbon nanotubes (MWNTs) was sputter-etched by plasma ion irradiation with various energies which were less than those used in the ion beam experiments. In the plasma, the surface opening of the MWNTs began at the irradiated ion energy of 20 eV and the open tips of the MWNTs were obtained by increasing the irradiated ion energy as 70 eV. When the ion energy exceeded 100 eV, the etching was enhanced by the rupturing and breaking processes and almost half of the MWNTs were etched out by the 150 eV ions. The irradiated ion energy was controlled effectively by the sheath potential formed in front of the MWNTs which was immersed in the nitrogen plasma.

8323

, , , , , , and

We synthesized vertically aligned carbon nanotubes (CNTs) using multilayered catalyst thin films (Fe/Al2O3 and Al2O3/Fe/Al2O3) by RF (13.56 MHz) CH4/H2/Ar plasma-enhanced chemical vapor deposition. Pretreatment of the catalyst is crucial for CNT growth. In this paper, we analyzed the effect of catalyst reduction on CNT growth. Catalyst thin films on substrates were reduced by H2 plasma pretreatment at 550 °C to form nanometer-sized catalyst particles. The multilayered thin films were analyzed; the chemical composition and oxidation state by X-ray photoelectron spectroscopy (XPS) and the surface morphology by scanning electron microscopy (SEM). The Fe 2p peak of the XPS spectra showed that FexOy in the as-deposited catalyst was effectively reduced to Fe by a pretreatment of duration 4 min. Using this catalyst, we obtained CNTs with an average diameter of 10.7 nm and an average length of 5.3 µm. However, pretreatment longer than 4 min resulted in shorter CNTs and the Fe peak was shifted from Fe to Fe3O4. These transitions (Fe2O3→Fe3O4→Fe→Fe3O4) can be explained by the enthalpy of the oxides. This result indicates the presence of an optimum ratio between Fe and FexOy to maximize the CNT lengths.

8330

, , , , and

The synthesis of Cs-encapsulated double-walled carbon nanotubes (DWNTs) is realized for the first time by plasma irradiation. Transmission electron microscopy (TEM) and energy dispersive X-ray (EDX) spectrometry confirm that Cs clusters can be doped inside DWNTs. The structural deformation of Cs-encapsulated DWNTs synthesized under different applied negative DC bias voltages from -25 to -150 V during plasma irradiation is examined by Raman spectroscopy, and the obtained results indicate that DWNTs have structural merits compared with single-walled carbon nanotubes (SWNTs). In addition, the electronic transport properties of pristine and Cs-encapsulated DWNTs are investigated by their fabrication as the channels of field-effect transistor (FET) devices. We find that, in contrast to pristine ambipolar DWNTs, unipolar n-type semiconducting DWNTs can be created by Cs plasma irradiation.

8335

, and

The inside modification of single-walled carbon nanotubes using a single-stranded DNA is demonstrated. In this method, we regard DNA solution as a plasma, i.e., an electrolyte plasma. A direct current electric field is applied to the electrolyte plasma containing DNA negative ions in order to irradiate the single-walled carbon nanotubes with DNA ions. In addition, a radio frequency electric field is superimposed to the plasma to change the conformation of DNA ion molecules from random-coiled to stretched. DNA negative ion irradiation can be controlled by varying direct current electric field and irradiation time. In addition, transmission electron microscopy and Raman scattering spectrum analyses reveal that DNA is found to be encapsulated in the single-walled carbon nanotubes.

8340

, , , , , , , and

Nitrogen–C60 compounds such as azafullerene (C59N) and nitrogen-atom-encapsulated fullerene (N@C60) are produced by implanting nitrogen ions into C60 thin films on a substrate immersed in an electron cyclotron resonance plasma under a mirror magnetic field. Each compound is found to be synthesized, depending on the ion energy provided by the potential difference between the substrate and the plasma. The optimum energy for C59N synthesis is approximately 40–50 eV, and the amount of C59N decreases in an ion energy range larger than 50 eV. In contrast, an ion energy larger than 20 eV is required for N@C60 synthesis.

8344

and

We have developed a continuous synthesis technique to produce carbon nanostructures using a well-controlled plasma jet. In this method, numerous fiber-like structures can be produced readily using CH4 gas and H2 gas without a catalyst. Without a catalyst, carbon nanostructures that have small diameters in the range of about 10–100 nm are mostly produced. The effect of metal catalysts on carbon nanostructure synthesis is also studied. The yields of carbon nanostructures are dramatically increased using Ni substrate and/or Ni powders in the gas-phase synthesis of CH4 and H2.

8348

and

Hydroxyapatite (HAP)-coated implants on metallic substrates have been used as biomaterials. The problem is that the HAP coating on metallic substrates is easily exfoliated at the boundary between HAP and metallic, e.g., titanium (Ti) substrates. Therefore, a Ti–HAP functionally graded coating (FGC) is considered to improve the adhesion of Ti–HAP coatings. In this paper, Ti–HAP FGC is tested using a well-controlled DC plasma jet and discussed. It is confirmed that Ti–HAP FGC films with good adhesion within three layers are prepared.

8352

, and

In this work we treated type I collagen immobilized on different nanoporous membranes with microwave (MW) argon plasma. Hydrophobic and hydrophilic nanoporous substrates of poly(tetrafluoroethylene), with thickness varying from 35 to 70 µm and a 100 nm pore size, were employed as support for collagen immobilization. On the hydrophilic nanoporous membrane, after the MW plasma treatment, the immobilized collagen changed its morphology and showed a tendency to self-assemble in quasi-regular forms as microellipsoids. The presence of collagen immobilized on the nanoporous membrane after the MW plasma treatment was analyzed by detecting in the Raman spectrum an α-helix form, NH deformation vibration, amide II band at 1550 cm-1, a characteristic group frequency of the collagen macromolecule.

8358

, , , and

The sterilization of medical equipment was performed using low-pressure RF discharge plasma. Oxygen radicals as oxidizing materials for sterilization were produced from oxygen or water vapor. The generation of atomic oxygen and OH radicals was confirmed using the light emission spectra of the plasma. The pressure in the discharge region was varied periodically during inactivation in order to produce radicals effectively and to penetrate the produced radicals into tiny gaps. Medium sheets for microbial detection indicated that the decimal reduction value of active bacillus was 5 and 8 min using oxygen plasma and water vapor plasma with ne=109 cm-3, respectively. Biological indicators clarified that the sterilization of bacilli spores was successful for a treatment time of 90 min.

Etching

8364

, , and

In this study, we investigate in situ optical emission spectra from plasma in the reactive ion etching (RIE) of tungsten, a suitable candidate for gate metallization in compound-semiconductor-based high-mobility channel devices. This results in a detailed understanding of the effects of etching parameters vital to reducing etch induced damage and improving etching performance. A SF6 based chemistry was used with other functional gases, such as N2, O2, and CHF3. Van de Pauw (VdP) structures on GaAs based high electron mobility transistor (HEMT) layer structures were used for evaluating plasma-induced damage in the RIE process. The optimised process results in a maximum increase of 15% in the sheet resistance of the semiconductor material. Etched tungsten line widths down to 25 nm with well controlled profile were obtained by adjusting the etching conditions based on the understanding of the etching mechanism.

8370

, , , , and

During plasma etching processes, UV and vacuum ultraviolet (VUV) photon irradiation generates defects and causes an increase in interface state density between SiO2 and Si. To understand the effects of pulse-time-modulated plasma on reducing damage, defects in a SiO2 film (E' center) after etching processes were measured using the electron spin resonance (ESR) method. We found that the density of the E' center was markedly reduced using C4F8/O2 pulse-time-modulated (TM) plasma etching, as compared with continuous wave (CW) plasma etching. This corresponds to the changes in interface state density using the charge pumping method. Conversely, in both cases, these E' centers were almost eliminated by H2/N2 annealing at 400 °C. Interface state density, however, remained constant even by annealing in both cases, whereas, interface state density when using TM plasma was much smaller than that when using CW plasma. This result suggests that H2/N2 annealing is not sufficient to restore the interface state density between SiO2 and Si. To reduce the increase in interface state density, UV irradiation damage must first be suppressed during plasma etching. Consequently, a combination of TM plasma etching and thermal annealing is very effective for reducing UV irradiation damage to achieve high reliability in metal–insulator–silicon (MIS) devices.

8374

, and

In this study, we have demonstrated vertical and smooth inductively coupled plasma (ICP) dry etching of InP and GaAs using either solid iodine or ICl3 powder as gas sources at a low process temperature of 90 °C. We prepared solid iodine crystal and ICl3 powder in the ICP etching chamber as etching gas sources in a process chamber. Iodine or chlorine gases were supplied with a high vapor pressure from the solid source into the process chamber. Vertical and smooth etching was realized under a condition of low temperature and low bias RF power. We believe that solid iodine- and ICl3 powder-ICP etching are very simple and useful processes for InP- and GaAs-based device fabrication with a resist mask.

8378

and

The properties of diamond nanowhiskers fabricated from uncoated and oxidizable metals coated diamond film surfaces were compared. Whiskers with average diameter of 50 nm were obtained from all of samples. Iron was found to be the easiest etching metal among the metals used. Metal coatings reduce the number density of whiskers and inhibit etching rate as masks. The lowest density (about 20 µm-2) is obtained by the whiskers fabricated on Mo-coated diamond films. Raman spectroscopy measurement illustrates that a diamond bonding sp3 structure is uninfluenced by the etching process. It is also confirmed that the obtained whiskers show a good field emission behavior. The highest emission current density (10-5 A/µm2) was obtained on the sample with the lowest number density.

Deposition

8381

, , , and

Polycrystalline cubic silicon carbide (3C–SiC) films were deposited at a relatively low temperature of 1070 K on Si(001) substrates by atmospheric pressure plasma chemical vapor deposition. Monomethylsilane (CH3SiH3) was used as the single source. CH4 and SiH4 dual sources were also used to compare deposition characteristics. Under the present deposition conditions, very high deposition rates of more than 3 nm/s were obtained. The structure of the SiC films was evaluated by reflection high-energy electron diffraction, Fourier transform infrared absorption spectroscopy and cross-sectional transmission electron microscopy. In addition, optical emission spectroscopy was employed to study the chemical reactions in the CH4/SiH4 and CH3SiH3 plasmas. The results showed that increasing H2 concentration is essential in forming a high quality 3C–SiC film by enhancing the hydrogen elimination reaction at the film-growing surface. From the optical emission spectra, it was found that atomic hydrogen generated by adding H2 in the plasma increase the amount of principal precursors for the film growth. The utilization of CH3SiH3 also led to a higher concentration of principal precursors in the plasma, enhancing the incorporation of Si–C bonds into the film. As a consequence of simultaneously using a high H2 concentration and the CH3SiH3 single source, the columnar growth of 3C–SiC crystallites was achieved.

8388

, , and

A novel room-temperature inductively coupled plasma chemical vapour deposition (ICP–CVD) technique has been developed, which yielded high-quality silicon nitride (SiN) films with a hydrogen content of less than 3 at. %. The chemical composition and bonding of the films were analysed by energy dispersive X-ray (EDX) analysis, secondary ion mass spectrometry (SIMS), X-ray photoelectron spectroscopy (XPS), and Fourier transform infrared spectroscopy (FTIR). The film optical indexes measured by ellipsometry were well correlated with film composition. Very little plasma-induced damage was observed on Van de Pauw samples of GaAs-based high-electron-mobility transistor (HEMT) layer structures grown by molecular beam epitaxy (MBE). Breakdown electric field >4×106 V cm-1 was observed for an ultrathin 5 nm room-temperature-grown ICP–CVD SiN film embedded in a metal-insulator-metal (MIM) capacitor structure. This technique has been successfully incorporated into the III–V MMIC process flow to provide significant flexibility towards realising array-based MMICs.

8393

, , , and

To prepare a gas sensor that can operate at room temperature, carbon nanotubes (CNTs) were grown on Al2O3 substrates with interdigital Pt electrodes (Al2O3 substrate) by both pulsed laser deposition (PLD) and chemical vapor deposition (CVD). In this combined method, Fe catalytic thin film was prepared by PLD and then CNTs were grown on the Fe thin film by thermal CVD using an ethylene gas. The surface images of the prepared CNTs on the substrates were observed by scanning electron microscopy (SEM), and the sensitivity to NO gas was measured. The resistance of the prepared CNT-based gas sensor was found to decrease with increasing sensor temperature, and it decreased with increasing NO gas concentration at room temperature. In this paper, it is suggested that CNT gas sensors have a great possibility to be applied as innovative NO gas sensors on the basis of the experimental results.

8398

, and

Diamond-like carbon films (DLC) were prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) at a frequency of 13.56 MHz using methane (CH4) and acetylene (C2H2) at different RF powers. Optical emission spectroscopy (OES) was carried out to investigate plasma chemistry. The intensity of Hα in the C2H2 plasma was about one-half that in the CH4 plasma, whereas in both cases, the intensities of CH radicals were similar. The resistivity of the deposited films decreased with an increase in RF power, whereas growth rate increased with RF power. CH4-based films were found to be more insulating than C2H2-based films at high RF powers. The high resistivity of the films was obtained from both CH4 and C2H2 at low RF powers. The insulating property was due to the hydrogenation of sp2 bonds in the films.

8401

, and

Nitrogenated and hydrogenated diamond-like amorphous carbon (DAC:N and DAC:H) films were synthesized using i-C4H10/(N2 and H2) supermagnetron plasma, respectively. The upper- and lower-electrode rf powers (UPRF/LORF) were controlled to be 100–800/100 W, and N2 and the H2 concentrations were selected to be 25 and 20%, respectively. In the DAC:N layer deposited at 300/100 W, the nitrogen atom concentration was measured to be 1.7 mass %. In the case of DAC:N film, the lowest threshold electric field intensity (ETH) was observed to be 12 V/µm at the growth condition of 300/100 W. In the case of a DAC:H planar structure, the lowest ETH was 13 V/µm for 800/100 W deposition. In both types in the films with the lowest ETH, we observed the same optical band gap of approximately 1.2 eV. The optimum distribution and size of sp2 CC nanoclusters formed in both the DAC layers probably caused the ETH to decrease.

8406

, , , and

With a nickel catalyst, carbon nanotubes (CNTs) were prepared by microwave plasma-enhanced chemical vapor deposition (MPCVD). Transmission electron microscopy (TEM) images reveal the center hollowness and multiwall structure of CNTs. The tip-growth mechanism of the CNTs prepared by MPCVD is confirmed by the Ni particles enclosed at the tips of the CNTs. The degree of CNT graphitization increases with plasma power up to about 1000 W and then reaches the upper limit. This is attributed to an insufficient carbon feedstock for CNT growth. The field emission (FE) efficiency of the CNTs increases with plasma power. For plasma powers not greater than 1000 W, the increase in graphitization degree and the decrease in the number of defects in a CNT emitter array enhance FE performance. The defects produced by the large split catalysts remaining in the CNTs at low plasma powers (700 and 800 W) also result in a low FE efficiency. Although the CNTs grown at moderate to high plasma powers (1000 to 1200 W) have similar graphitization degrees, a low plasma power results in split catalysts and hence defects, as evidenced by TEM observation. These defects accumulate electrons, block electronic transport, and hence reduce the FE efficiency of the CNTs. The effect of kinked CNTs produced by the a plasma sheath on FE efficiency is negligible.

8412

, and

COx films were deposited by magnetron sputtering of a graphite target within two different routes: (i) by sputtering in an Ar+O2 gas mixture or (ii) by sputtering in pure Ar followed by annealing at 300 °C in air. Surface composition and chemical bonding was studied by X-ray induced photoelectron and Auger electron spectroscopy (XPS/XAES), and electron energy loss spectroscopy (EELS) in a low energy loss region. Oxygen content reached ∼20 at. % in the COx layers, independent on the route used. The C 1s spectral line shapes indicate C–O and C=O bonding states, regardless dominating C–C and CHx bonds. Substantial differences were found in the XAES and reflection electron energy loss spectra (REELS) recorded from surface regions of the samples. The both spectra indicate dominating sp2 bonding of carbon atoms in an analyzed volume of COx films sputtered in Ar and oxidized in air at elevated temperature whereas for those deposited in an Ar+O2 mixture the sp3 bonding prevails over sp2.

8418

, , , and

Mechanically hard amorphous carbon nitride films were prepared by a combination of radio frequency (RF) bias voltage applied to a substrate and chemical vapor deposition using a decomposition reaction of BrCN with a microwave discharge flow of Ar. A pulsed operation of the negative RF bias voltage (-VRF) was applied to avoid excess sputtering of the film. The [N]/([N]+[C]) ratios of the films were ≈0.5 irrespective of the application of -VRF. The maximum hardness was 36±10 GPa for the film obtained under the conditions of -VRF=100 V, a pulse period of 1000 s, and a pulse-on time of 800 s. According to the IR spectra, the intensity of the stretching vibration of the C–N bond was increased by the application of -VRF. The Raman spectra showed increases in the relative intensity and width of the D-band. From these observations, the mechanism of film hardening when -VRF is applied was discussed.

8424

, , , , , and

The low-temperature growth of epitaxial Si films by atmospheric pressure plasma chemical vapor deposition (AP-PCVD) was investigated. A 150 MHz very high frequency (VHF) power supply was used to generate an atmospheric pressure plasma of gas mixtures containing He, H2, and SiH4. Two types of electrode (i.e., cylindrical rotary and porous carbon electrodes) were used in plasma generation. When a cylindrical rotary electrode was used, polycrystalline Si growth was inevitable at the film edge on the upstream side. This is due to the variation in deposition rate along the gas flow direction, which is extremely high at the plasma/atmosphere interface on the upstream side. To solve this problem, we developed a novel porous carbon electrode where process gas molecules are directly supplied into the plasma region through a porous carbon plate a distance (0.8 mm) away from the substrate surface. Using such a porous carbon electrode, we successfully grew a defect-free epitaxial Si film on the entire surface of a 4 in. Si wafer at 600 °C. The average growth rate was 0.25–0.3 µm/min, which is as high as that obtained by thermal CVD at 900 °C. The epitaxial Si films grown at 600 °C were characterized by various methods, including transmission electron microscopy, atomic force microscopy, secondary ion mass spectrometry, and selective etching. The influence of adsorbed impurities in the porous carbon material on the quality of epitaxial Si films was also investigated.

8430

, , , , and

SiOx(CH)yNz films were deposited at room temperature using plasma enhanced chemical vapor deposition (PECVD) with a gas mixture of hexamethyldisilazane [HMDS, Si2NH(CH3)6]/Ar/N2O. The characteristics of those films with increasing N2O were investigated. When no N2O was used, the film showed organic characteristics with a Si/O composition ratio of 2 and a large concentration of –CHx and N–H in the deposited film. However, with increasing N2O flow rate, oxygen-rich and transparent SiO2-like inorganic thin films could be obtained with a Si/O composition ratio of 0.5 and a lower –CHx and N–H in the deposited film. By turning on-and-off the N2O gas flow during SiOx(CH)yNz deposition, a multi-layer thin film consisting of an organic Si(CH)x-like film/inorganic SiO2-like thin film, which can be applied to the thin film passivation for organic devices could be successfully deposited.

8435

, , , , , , , and

SiOC(–H) films were deposited on a p-type Si(100) substrate by plasma-enhanced chemical vapor deposition (PECVD) from methyltriethoxysilane (MTES) and oxygen precursors. The MTES/O2 flow rate ratio was varied from 40 to 100% to investigate its effect on the properties of the films. Film thickness and refractive index were measured by field-emission scanning electron microscopy (FESEM) and ellipsometry, respectively. The chemical structures of the SiOC(–H) films were characterized by Fourier transform infrared spectroscopy (FTIR) in the absorbance mode. The bonding configurations of the SiOC(–H) films remained the unchanged upon annealing, showing their good thermal stability. The electrical properties of the films were measured using a metal–insulator–semiconductor (MIS) Al/SiOC(–H)/ p-Si structure. The experimental lowest dielectric constant of the SiOC(–H) film was found to be 2.38 at an annealing temperature 500 °C and the film has excellent thermal stability up to 500 °C. The SiOC(–H) films deposited by MTES and O2 precursors are a promising material for next-generation Cu-interconnect technology.

8440

, , and

Plasma polymer films of vinyltriethoxysilane were prepared at the same deposition conditions but different film thicknesses and analyzed with respect to deposition rate, surface morphology and selected mechanical properties. The mean deposition rate decreased from 190 to 105 nm min-1 and the RMS roughness increased from 0.1 to 17.5 nm with film thickness ranging from 15.8 nm to 8.4 µm. The RMS roughness correlated to film thickness and the roughening coefficient was 0.92. Depth profiles of the elastic modulus and hardness revealed the gradient character of the films with thickness of up to 0.5 µm and a layered structure of a thicker film. Results enabled the reconstruction of a thicker film (>0.5 µm) as the layered structure, which consists of a gradient interlayer at the substrate, a relatively homogeneous layer as the bulk, and gradient overlayer at the film surface.

8445

and

Thick titanium nitride coatings, which have high hardness, were obtained by gas tunnel type plasma reactive spraying. In this study, the corrosion behavior of the thick TiN coatings was investigated using the electrochemical polarization measurement in 1 M hydrochloric (HCl) solution at room temperature of 295 K. The polarization curve of stainless steel (SUS304) was also measured in order to clarify the effect of corrosion resistance of TiN coatings on the substrates as protective layer. The results showed that the TiN coating could protect the substrate surface from corrosion. It also revealed that the corrosion resistance of TiN coatings was improved as the thickness of TiN coatings was increased.

8449

, , , and

Tungsten carbide (WC1-x) thin films were deposited by simultaneous sputtering. Tungsten and carbon solid materials were simultaneously sputtered by argon ion bombardment and deposited on substrates. Argon plasma was triggered using an RF (13.56 MHz) power source. The aim of this study is to apply this sputtering technique in a plasma-based ion implantation and deposition (PBII&D) system for the surface modification of three-dimensional workpieces. The films' surfaces in both the presence and absence of tungsten were very smooth, and only a few metal droplets were seen. An in-depth X-ray photoelectron spectroscopy profile revealed the following: (1) the films deposited contain approximately 30% tungsten in the most-to-least film; (2) carbon atoms bonded to tungsten (C–W) as well as those bonded to carbon (C–C) are detected in the C 1s spectra; (3) the stoichiometry of the film for an argon ion sputter time between ∼400 and ∼1600 s is deduced as WC0.73±0.10. The Raman spectra and Tauc plot from the optical transparency showed that the films contain approximately 10% sp3 with a band gap of 1.1 eV.

8453

, and

High-purity transparent conductive Al-doped ZnO (AZO) films were grown by KrF excimer pulsed laser deposition. We used ultraviolet and X-ray photoelectron spectroscopes to directly measure the absolute values of the vacuum work function of AZO films. The structure and electrical and optical properties of the as-grown AZO films were studied using X-ray diffraction, room temperature Hall effect measurement and spectro photometer, respectively. Finally, organic light emitting diodes (OLED) were fabricated on these AZO films. OLED device measurement showed that the current of the OLED with AZO was clearly increased. Our AZO thin films showed a higher conductivity (ρ=1.33×10-4 Ω cm, Rs=10.1 Ω/sq) than conventional indium tin oxide films.

8457

, , , , and

Indium oxide thin films have potential applications as cathodes in top-emitting organic light-emitting diodes (TEOLEDs). This study examined the characteristics of transparent conducting indium oxide (IO) films deposited by an oxygen ion-beam-assisted-deposition (IBAD) as a function of the applied oxygen ion energy (Va). When TEOLED devices consisting of glass/Ag (100 nm)/ITO (125 nm)/2-TNATA (30 nm)/NPB (15 nm)/Alq3 (55 nm)/LiF (1 nm)/Al (2 nm)/Au (20 nm)/IO (100 nm) were fabricated at a lower Va, a lower turn-on voltage was observed even though the maximum luminance (32,000 cd/m2) was similar one another. A Va of approximately +50 V produced an IO film with a resistivity of 8.5×10-4 Ω·cm and a transmittance of 85%. The definition (IV) characteristics of TEOLED devices with a cathode layer of Al (2 nm)/Au (20 nm)/IO (100 nm) were similar to the device fabricated with Al (2 nm)/Au (20 nm) only.

8462

and

The indium tin oxide (ITO) cathode electrode of top emitting organic light emitting diodes (TOLEDs) was deposited by facing targets sputtering (FTS) method which can deposit thin films with low substrate damage. And it also use a plasma-free sputtering method in which substrate is located apart from plasma. So the temperature increase of substrate due to the bombardment of high-energy particles can be restrained. The ITO thin film which is a transparent electrode was deposited on the cell (Mg:Ag/LiF/EML/HTL/ITO) using two magnetic field such as concentrated magnetic field type and distributed magnetic field type, respectively. Also, the ITO thin films were deposited by sputtering current at working gas (Ar:O2 mixture gas) pressure 1 mTorr. Electrical and optical properties of ITO thin films which deposited on amorphous glass were measured and current–voltage (IV) characteristics of ITO thin film on the cell were measured. In the results, the leakage-current density of ITO/cell prepared at concentrated magnetic filed type and distribution magnetic filed type showed about 1×10-3 mA/cm2 at -6 V and 1×10-5 mA/cm2 at -6 V, respectively.

8466

, , , , , and

The dependences of magnetoresistance properties in Co/Cu multilayers on sputtering conditions were investigated by measuring the energy distributions of Ar+ ions and metal atoms. It was found that the incident Ar+ ion energy to the substrate increased with decreasing distance D between the target and the substrate. When D was changed from 5 to 11 cm, the interface roughness estimated from low-angle X-ray diffraction was improved and the magnetoresistance ratio at the first peak was increased from 31 to 42% at room temperature. These results suggest that the incident Ar+ energy affects the magnetoresistance through the modification of interface roughness.

8469

, , and

Tungsten trioxide (WO3) thin films gas sensors were prepared by the KrF excimer pulsed laser deposition (PLD) method. The films were prepared on the quartz glass, silicon and also on the Al2O3 sensor substrates with platinum interdigitated electrodes. The effect of doping of the platinum (Pt), palladium (Pd) or gold (Au) on the WO3 thin film was also investigated. These metals were doped to the WO3 thin film by the DC sputtering process during the PLD. The substrate temperature and the oxygen pressure were 400 °C and 100 mTorr, respectively, during the deposition. The films were characterized by atomic force microscopy (AFM) and X-ray diffraction (XRD). The sensitivity of the prepared sensors to 60 ppm NO gas was examined using the two terminal resistance method in a chamber at atmospheric pressure and operating temperatures of 25–350 °C. The sensitivity of the WO3 thin films doped with Pt, Pd, or Au was found to be higher than that of the undoped WO3 thin film.

8473

and

The resonant properties of ceramic resonator device (CRD) coated with plasma-polymerized styrene film (PPSF) during mass loading were examined and compared with those of a quartz crystal microbalance (QCM) device. We tested three 4-MHz CRDs and two 9-MHz QCMs as a reference for PPSF. They were coated experimentally under several plasma-polymerization conditions (100 W of RF power at 13.56 MHz, 100 Pa of monomer pressure). The resonant properties of both devices were measured using an impedance analyzer. The resonance frequency shift is proportional to polymerization time until 240 min (ΔFr=CCRDt,C=360 Hz/min for CRD, and ΔFr=CQCMt,CQCM=2448 Hz/min for QCM, respectively). The shift of resonance frequency for CRD is 7.13 ng/Hz. The PPSF-coated CRD showed a lower frequency shift than QCM for mass loading (about 0.14). Shifts of the resistance and Q-value during mass loading were not found on the CR, but they were found on the QCM. Those results imply that the low Q-value property of CR causes a small resistance shift for mass loading. The PPSF deposition method is suggested as a preliminary standardization of evaluation of the mass loading effect of CRD for applications of chemical sensors and biosensors under a high mass-loading condition.

Plasma-solid Interaction and Surface Modification

8479

, , , , and

In this study, we report a novel method for controlling the shape of a micromachined bridge (clamped-clamped beam) by plasma surface modification. In short, the microbridge can be tuned to either buckle upward or downward using plasma treatment. To demonstrate the feasibility of this approach, NH3 plasma treatments were employed to control the direction of buckling amplitude for a SiO2 microbridge. Furthermore, the shape of a buckling microbridge can also be adjusted by the same technique. The buckling profiles predicted by finite element analysis are in agreement with those determined from the measurement.

8484

, , and

The rapid recrystallization of amorphous silicon (a-Si) utilizing a very-high-frequency (VHF) plasma jet of argon (Ar) at atmospheric pressure is investigated. A highly crystallized polycrystalline Si film is synthesized by optimizing the translating velocity of the substrate stage and the flow rate of argon. The temperature of the plasma exposure area reaches 1350±300 °C and the recrystallization of a-Si proceeded with time constants of 30–50 ms. The effects of the translating velocity of the substrate stage and the flow rate of argon on the rapid recrystallization of a-Si are demonstrated along with its mechanism.

8488

, , , and

To crystallize amorphous silicon (a-Si) films at temperatures less than 600 °C, we propose an atmospheric pressure plasma (APP) treatment method using a H2/He or H2/Ar mixture. An atmospheric-pressure stable-glow plasma was generated using a 150 MHz very high frequency power supply. After APP treatment, the Si films were characterized by reflection high-energy electron diffraction analysis, Fourier-transform infrared spectroscopy and scanning electron microscopy. In addition, optical emission spectroscopy (OES) was employed to study the plasma. In the case of treatment with the H2/He plasma, the crystallization of the a-Si films started with in negligible incubation time at a substrate temperature as low as 200 °C, and the resulting Si crystallites showed anisotropic morphology. It was found that a-Si layers still existed under the crystallized layers. This result suggests that crystallization of a-Si by APP was predominated by chemical interactions between atomic hydrogen in the plasma and the treated surface. However, in the case of treatment with the H2/Ar plasma, Si crystallites of the treated film did not show anisotropic morphology, and film peeling was partly observed in the treated area. Additionally, from the OES, emission lines from atomic hydrogen were hardly observed in the H2/Ar plasma. This implies that physical interactions between Ar atoms and the film surface play a significant role in the crystallization of a-Si.

8494

, , , and

Surface-wave plasma has been used to modify polymer surfaces. The density and selectivity of the functionalizations are very important for biomaterial applications. Low-temperature plasma treatment has been successfully applied to many types of polymers to generate functional surfaces. In this study, we focus on the surface modification of amino groups on chitosan surfaces by ammonia plasma treatment. Chitosan is a nontoxic, biocompatible and biodegradable polymer, which promotes the scarless healing of skin through embolization. The experimental results of X-ray photoelectron spectroscopy measurements showed that the ammonia plasma exposure to the chitosan surface increased the nitrogen incorporation on the surface from 4.67 to 9.92%. The selectivity of amino group functionalizations (–NH2/N) increased from 53.7 to 78.4% after the plasma irradiation.

8498

, and

A gas discharge ion source (GDIS) was used as test facility to produce and study the characteristics of diffused, low-energy hydrogen ion showers. Narra wood samples were then exposed to the showers to investigate topographical effects of ion irradiation. Analysis of beam constituents by mass spectroscopy shows H+ ions to be the dominant species suggesting an essential participatory role for this particular monatomic ion in the surface modification process. Low energy irradiation (600–700 eV) produced hydrophobic surfaces with scanning electron micrographs showing partial closure of surface pores. Whereas, a reversion to hydrophilicity was observed for higher energy irradiation (>900 eV), with surface images showing exterior degradation believed to be the etching effects of the chemically active H+ species. The irradiated samples absorbency was quantified via the wetting model wherein the contact angle's time rate equation was numerically solved and fitted onto experimental data. The change rate proportionality constant K with value 0.0015 corresponding to 600 eV beam energy, exhibited the longest moisture absorptive inhibition time of more than 10 min. An increasing value of K indicates increased wetting behavior.

8502

, , and

Three-dimensional surface structures composed of micropillars with different diameters (8–110 µm) and interpillar distances were fabricated using a thick-film photoresist, and the surface was modified with a hydrophobic plasma-polymerized film using hexamethyldisiloxane (HMDS) as a monomer. Surface hydrophobicity was examined by measuring contact angle using a pure water droplet. The effect of adding ethanol was also examined. Water static contact angle increased significantly on the surface coated with the HMDS plasma polymer. Structural parameters, including the diameter and height of the micropillars, were changed, as was the interpillar distance. The dependence of apparent contact angle on these parameters was explained using Cassie's and Wenzel's models.

8506

, , , , , , , and

The effect of plasma treatment on glassy carbon (GC) surfaces was studied with adhesion improvement in mind. A newly constructed remote plasma source was used to treat GC plates. Pure He and a dilute NH3/He mixture were used as feed gases. Optical emission spectroscopy was performed for plasma torch diagnostics. The treatment resulted in surface etching, substantially enhanced by NH3, as well as a roughening of the surface as measured by atomic force microscopy. Furthermore, the treated area showed an increased wettability indicating the addition of polar functional groups to the surface. X-ray photoelectron spectroscopy confirmed the introduction of several oxygen and nitrogen containing surface functional groups. The adhesion to epoxy was dramatically improved after exposure to either plasma, the effect being largest when NH3 was present in the feed gas.

8512

, , and

The surface and growth conditions for the formation of textured polycrystalline bulk gallium nitride (GaN) crystals under nitrogen (N2) reactive plasma were investigated. Langmuir probe measurements were used to determine the electron density values near the Ga surface at different target locations and ranged from (6–16)×1010/cm2. Optical spectroscopy measurements showed reactive gallium (Ga) and nitrogen species present in the plasma. When the target was bombarded with highly energetic ions, peaks of sputtered Ga at 403 and 417 nm wavelengths were observed in the optical spectroscopy measurements. The top Ga surface showed increased roughening and the resulting crystals were of poor crystalline quality, with fresh Ga leftovers. Smoother crystals were formed when the Ga target was shielded from the direct bombardment of highly energetic N2 plasma, with no sputtered Ga peaks observed during the bombardment. X-ray diffraction (XRD) analysis results revealed well-oriented crystals at the (0002) reflection of GaN. This proved that the shielding of highly energetic ions to decrease roughening of the surface and allowing more neutrals for the reaction were effective in forming well-oriented bulk GaN crystals.

8517

and

An indium–tin oxide (ITO) surface was treated with oxygen (O2) plasma in a triode glow discharge system. The processing time and the radio frequency (rf) voltage applied to the substrate electrode were changed. The contact angle, X-ray photoelectron spectroscopy (XPS) spectra and scanning electron microscopy (SEM) image of the O2-plasma-treated ITO surface, and the characteristics of organic electroluminescence (EL) devices fabricated on O2-plasma-treated ITO were investigated. By applying an rf voltage of 200 V, contact angle decreased from 35 to 11°, the concentration ratio of C 1s/In 3d decreased, that of O 1s/In 3d increased, and the operating voltage of the EL devices decreased.

8521

, , , , , and

In this work, carbonaceous materials were modified in order to improve capacitance and charge density in electric double layer capacitors (EDLCs). Optimal conditions for plasma surface treatment of activated carbon have been examined for times from 1 to 10 h at 150 °C. The plasma is a high-frequency glow discharge in N2. The pressure of the gas is 13.3 Pa. The electrode is set up so that the EDLC sample is covered with the glow discharge. Specific capacitance and charge density can be improved by plasma surface treatment of carbon materials.

Ion Sources

8525

, and

Helium beams in a compact gas discharge source lose their transverse symmetry when the extracting electrode is biased at high potentials. Further, this condition gives rise to excessive formation of electrons within the vicinity of the beam line of propagation. A plausible explanation for these abnormalities is explained via the effects of the source extractor's lens property on the beams' physical configuration. The optical relation of the extractor shows that when the extraction voltage (Ve) exceeds half the discharge voltage (Vd), its focal length extends backward pass the discharge region's emitting orifice. As a consequence, beam divergence is increased akin to light beams expanding when the source is positioned between a negative lens and its principal focus. Numerical simulations of the beams' envelopes at different discharge and biasing conditions provide further proof of the theory's validity. When Ve>Vd/2, the construct shows exiting beams to have waists greater than the diameter of the drift tube suggesting increased interaction between beam edge and the tube's interior walls resulting in secondary electron emissions. The presence of electrons inexorably leads to charge neutralization thus creating asymmetric beams downstream. Mass spectroscopic detection of O- ions most likely from surface oxides, and increased electron densities obtained by way of Langmuir measurements are phenomenological evidences to this effect. This work intends to establish the explicit causality relation between secondary electron emission and the formation of asymmetric beams in miniaturized ion sources.

8531

, , , and

Using a neutral beam injection system with large electrodes for a nuclear fusion device, high currents of nitrogen ion (N+) beams with energies of 300 V are successfully extracted for plasma application. In an acceleration with a low energy of 300 V, a N+ beam current (∼9 mA/cm2) on the ampere order is achieved without the optimization of extraction aperture diameter, electrode thickness and the distance between the electrodes. Main beam elements are confirmed as N+ particles using a mass spectrometer. In this system, concave electrodes with an effective diameter of 345 mm are adopted to focus the beams. Therefore, we can obtain a high current density and high-flux ion beam at the focal point. In an acceleration with a high energy of ∼23 kV, a N+ beam with a current density of ∼456 mA/cm2 and a flux of ∼3.1×1022 m-2 s-1 is irradiated onto an aluminum material, then surface modification is confirmed.